世纪电源网社区logo
社区
Datasheet
标题
返回顶部
原创

【龙腾原创】Ltspice模拟电路/电源设计仿真:入门到进阶 [已完结]

  [复制链接]
查看: 94563 |回复: 242
1
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-9 10:51:44
【龙腾原创】Ltspice模拟电路/电源设计仿真: 入门到进阶
        Eric.Wen  2014/03/09
        经历了一年半的'折腾', 项目暂时进入一个平稳期, 有一段时间可以用来理论方面的学习了.
        

LTspice  (微信公众号:  allgpc) 定期分享更新视频及使用技巧!

        第一, 首先再重复下仿真的意义:
        仿真,一个古老的话题,我之前说过仿真是YY, 不如实战, 但有些时候,仿真还是有着不可代替的作用.如:
        1. 手着零件缺少或是你不在实验室,不是每个人每个地方有机会让你搭面包板的.
        2. 温度影响分析,别告诉我说你用电吹风吹啊.这个有点难控制,至少对我而言是这样.
        3. 误差影响分析(仿真有时候比你选择极值点元件更容易)
        4. ....  不多说这个了, 每篇关于仿真论文的第一章或是第一节都能找得到答案.
        5. BTW, 昨天马航MH370失事(虽然生存机率不高了,但还是祈祷吧), 貌似波音777有这样的故事:
        777是世界第一款完全以电脑立体CAD绘图技术设计的民用飞机,该技术又称CATIA(Computer-aided Three-dimensional Interactive Application),整个设计工序中都没有采用传统绘图纸方式,而是事先“建造”一架虚拟的777,让工程师可以及早发现任何误差,以确保机上成千上万的零件在被制成昂贵实物原型前,也能清楚计算安放的位置是否稳妥,并减省了开发时间和成本,在原型机建造的时候各种主要部件一次性成功对接。初时波音仍对CATIA的能力有质疑,于是制造了一个Section 41机鼻部分的实体尺寸模型,来测试它的能力,结果非常的成功;而波音777的开发使波音公司总共动用了2200台电脑终端机
         
        第二,说说为什么用Ltspice:
        1.用得最多, 这是唯一原因. 一直深信用一个软件,熟能生巧. 就像saber, 我从2008年就开始安装, (注意: 只是安装), 到2012年, 中间不知道装装卸卸多少次,结果除了每次打开后,就没用过了. 然后2011左右用simetrix/simiplis, 这是个不太需要动多少脑子的(因为它从配置到成功运行一个文件比saber简单多了).然后再转Ltspice,二种都是同样的内核,所以转后压力不大. 昨天看帖有朋友说一周搞定solidworks,我认同王版的,如果专心搞可以搞得定个初步的.

        2. Ltspice有一个很好的免费资源分享及技术论坛, 如ltspice yahoo group.这里面基本上能解决你碰到的问题. 但诚如我一直所说, 一个软件的自己的help文档基于上能解决90%的问题, 我现在也记不了多少读法,都是边调F1边看, 所以F1是学一个新软件的灵魂.
        3. Ltspice免费,随时可以下载安装,所占空间不大,不需要破解,不需要注册序列号...远比一个saber简单吧,总共也才20多兆.放在一个U盘里就好了或是随便放在哪个邮件里就成.
        4. 易上手, 这个不赘述了. 谁用谁知道,当然你习惯了saber以及其他的,一样也会这样说.
        5. 版本更新影响不大,由于更新的基本上是凌力尔特的自己的库,所以没必要去寻找最新版.
        6. 哦,这点比较重要, 容易修改元件, 我们很简单的有时想找一个1A 400V的二极管,但系统库里只有0.2A 40V的, 为了应急需要,我们可以很方面的更改这个系统库文件. (当然你需要更高级的修改,需要适当修改寄生参数).这个好处,对于在使用稳压管的时候特别有用.
        7. 还有啥的...比较适合模拟电路,分立器件. 玩三极管二极管的会比较喜欢这个.
        

        第三,你能从本帖中能得到什么:
        1. 我的一些废话.
        1.1 三角波,锯齿波特殊波形产生---17楼开始,仿真文件36楼, Eric.Wen 2014/3/26
        1.2 二极管反向恢复仿真---26楼开始,仿真文件35楼, Eric.Wen 2014/3/27

        2. Ltspice反激电路设计及分析.

        2.1 填谷PF测试及观察 ---- 52楼开始,仿真文件74楼, Eric.Wen 2014/3/29
        2.2 384x类典型反激仿真(带光耦及TL431)
        2.2.1 LT1241/42/43/44类似于UC384X的仿真: 凌力自己的模型.--- 84楼开始, Eric Wen 2014/3/30 ----至此,新手可以了解Ltspice的基本.算是达到了入门级水平.
        2.2.2 自己UC384X仿真设计 (含有光耦及TL431) ---- 位于45楼,90楼, Eric.Wen 2014/3/29
        3. 整流桥后LCL参数扫描分析 --- 位于105楼, Eric.Wen 2014/04/01
        4. 元件误差分析 ---- 位于107楼,仿真模型位于109楼, Eric.Wen 2014/04/01
        5. 温度分析,温度扫描分析--- 位于118楼,仿真模型位于118楼, Eric.Wen 2014/04/02
        6. ...
        

        完结: 2014/04/04
        OK. come on...
gaohq
  • gaohq
  • 离线
  • LV10
  • 总工程师
  • 积分:12508
  • |
  • 主题:249
  • |
  • 帖子:3237
积分:12508
LV10
总工程师
  • 2014-3-9 13:53:32
 
这个软件以前装过也用过,后来重装系统搞丢了,先上个安装文件上来吧。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-9 14:28:15
 
欲善其事,必有其器:
http://www.linear.com/designtools/software/ 这是官方下载
There's a Yahoo! group which is quite active with discussions, examples etc.
http://tech.groups.yahoo.com/group/LTspice/
也可以见过我之前发过一帖,里面有一堆的参考link:

1. https://bbs.21dianyuan.com/155090.html Ltspice仿真
2. https://bbs.21dianyuan.com/170420.html 自己常上的几个网站
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-20 13:05:30
 
学习 ~
brave0613
  • 积分:281
  • |
  • 主题:5
  • |
  • 帖子:24
积分:281
LV3
助理工程师
  • 2014-9-12 14:20:53
 
楼主,您好~能科普一下 Bipolar Transistor

如何建模吗?里面有哪些参数可以不考虑。
之前有用LTspice仿真了ST FJP ON 三家三极管。发现仿真出的导通压降Vce大小差别很大。(同一Ib 同一Ic点测试出的Vce)
输入电压高低压ST的Vce差别很大,FJP基本不变,ON的变化很小。
如图线路图,望指导。刚学一个多星期的LTspice 很多地方还是不理解。谢谢~



eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-9-12 15:15:59
 
抱歉,细微建模我不会, 这涉及的东西超出我的能力.
brave0613
  • 积分:281
  • |
  • 主题:5
  • |
  • 帖子:24
积分:281
LV3
助理工程师
  • 2014-9-12 17:06:52
 
Thank you all the same~
宋振东
  • 积分:22
  • |
  • 主题:0
  • |
  • 帖子:2
积分:22
LV1
本网技工
  • 2018-2-3 12:54:22
 
你好,LTSPICE怎么2电平三相电建模,pwm怎么设置120相位差
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2018-2-4 20:55:52
 
能否具体说清楚,如果只是三相交错120C的话,做延时处理即可。
小法
  • 小法
  • 离线
  • LV8
  • 副总工程师
  • 积分:2764
  • |
  • 主题:31
  • |
  • 帖子:1489
积分:2764
LV8
副总工程师
  • 2014-3-9 15:41:42
 
支持,赞一个~~~
ipod5566
  • 积分:602
  • |
  • 主题:7
  • |
  • 帖子:190
积分:602
LV6
高级工程师
  • 2014-3-9 16:43:09
 
一般拿LTspice来仿真Linear产品的电路,用起来确实很方便。
huhushuai
  • 积分:1902
  • |
  • 主题:31
  • |
  • 帖子:535
积分:1902
LV6
高级工程师
  • 2014-3-9 16:46:12
 
一直用saber,看看楼主对这个软件的介绍吧,有用的话可以学学。
zhojianjin
  • 积分:1348
  • |
  • 主题:3
  • |
  • 帖子:521
积分:1348
LV6
高级工程师
  • 2014-3-9 21:51:38
 
深入学习,龙腾激发了各位大师的热情,也惠顾到了我们这些电源人,还是21世纪电源网最给力
yutianyi
  • 积分:278
  • |
  • 主题:2
  • |
  • 帖子:35
积分:278
LV3
助理工程师
  • 2014-9-2 14:12:12
 
21 电源网对新人的帮助真的很大
yutianyi
  • 积分:278
  • |
  • 主题:2
  • |
  • 帖子:35
积分:278
LV3
助理工程师
  • 2014-9-3 15:56:43
 
刚刚接触saber
ipod5566
  • 积分:602
  • |
  • 主题:7
  • |
  • 帖子:190
积分:602
LV6
高级工程师
  • 2014-3-20 12:02:18
 
楼主,继续,期待后续的精彩。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-20 13:01:48
 
嗯。多谢,最近在组织思路,怎么弄比较好。
huhushuai
  • 积分:1902
  • |
  • 主题:31
  • |
  • 帖子:535
积分:1902
LV6
高级工程师
  • 2014-3-20 21:48:27
 
等楼主。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-20 13:29:30
 
先来一个电压源的设计,常规的不说了,这里有许多电源类似供君选择,但是我们人为设计电路的时候,往往需要一个三角波,正负对称三角波,锯齿波,脉冲波形这样的(特别是在做IC周边设计的时候,需要一些参考源、载波源),怎么设计呢?


cx123
  • cx123
  • 离线
  • LV6
  • 高级工程师
  • 积分:1976
  • |
  • 主题:44
  • |
  • 帖子:538
积分:1976
LV6
高级工程师
  • 2014-3-21 11:35:37
 
以前看到过凌特公司的FAE用过这个软件,当时看见觉得蛮不错的
gaohq
  • gaohq
  • 离线
  • LV10
  • 总工程师
  • 积分:12508
  • |
  • 主题:249
  • |
  • 帖子:3237
积分:12508
LV10
总工程师
  • 2014-3-21 14:48:56
 
这个软件好像不能仿真环路
huhushuai
  • 积分:1902
  • |
  • 主题:31
  • |
  • 帖子:535
积分:1902
LV6
高级工程师
  • 2014-3-26 09:12:30
 
楼主怎么好像不更新了?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-26 10:51:16
 
会的,最近在生产线忙。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-26 10:50:37
 
有AC分析,和simplis是一样的内核.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-26 13:43:25
 
第一步,先生成常用的三角波及锯齿波.
正负对称标准三角波生成。
仍然是利用电压源的Pulse功能来自定义这个三角波:如下图所示:



可以看到里面定义了一堆参数,Vin Von Td T1 T2...
详细的参数定义如下图:





注意,这里有一个特别的语法:
.param Td=0u T1=2u T2=2u Vin=-2 Von=7
这个类似于其他编程语言中的宏定义,param在外面定义好这些参数,然后就在电压源(或是其他地方)调用这些参数了.

这样定义下:我们就得到了一个正负对称的标准三角波.
频率:F=1/(T1+T2)=250KHz,斜率正负对称,从-2V到7V。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-26 13:45:27
 
验证如下:


同理:如果要改变幅值,频率,这也是轻而易举的事情。
至此,入门第一步完成。
lzlrobert
  • 积分:3164
  • |
  • 主题:158
  • |
  • 帖子:851
积分:3164
LV8
副总工程师
  • 2014-3-26 17:14:27
 
顶一个...慢慢看
huhushuai
  • 积分:1902
  • |
  • 主题:31
  • |
  • 帖子:535
积分:1902
LV6
高级工程师
  • 2014-4-1 20:56:06
 
讲的很详细的说。
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 10:15:51
 
文兄,LTSPICE 用来仿二极管的反向恢复,好象不行哦,波形失真的很 ~
荨麻草
  • 积分:9952
  • |
  • 主题:59
  • |
  • 帖子:3436
积分:9952
版主
  • 2014-3-27 10:43:40
 
我试了Simplis(据说和LTSPICE一样的内核,均采用分段线性化技术),发现也仿真不出二极管的反向恢复特性,但Simetrix就可以(Spice内核)...不知是不是内核的问题?
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 11:25:44
 
请分享下您的simetrix仿的结果,我用simetrix也弄不出来 ~
荨麻草
  • 积分:9952
  • |
  • 主题:59
  • |
  • 帖子:3436
积分:9952
版主
  • 2014-3-27 11:39:33
 
我这边不方便上传,我描述一下:随意搭建一个Boost,让其工作于CCM模式,比如10V--48V/2A,电感50μH/10mΩ,输出电容1mF/20mΩ,二极管MUR810,MOS选SPB47n10
观察二极管电流便是。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 12:02:54
 
荨兄,看下这个:



荨麻草
  • 积分:9952
  • |
  • 主题:59
  • |
  • 帖子:3436
积分:9952
版主
  • 2014-3-27 12:41:03
 
直观,漂亮,
mandy2
  • mandy2
  • 离线
  • LV6
  • 高级工程师
  • 积分:1799
  • |
  • 主题:51
  • |
  • 帖子:901
积分:1799
LV6
高级工程师
  • 2014-3-31 22:37:07
 
这个波形很逼真!反向恢复!
QWE4562009
  • 积分:13389
  • |
  • 主题:767
  • |
  • 帖子:2293
积分:13389
LV10
总工程师
  • 2020-7-28 08:28:28
 
邮洽图片20200727171725.jpg 为什么用meas命令 报告显示错误呢
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 12:42:48
 
多谢了 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 11:31:16
 
这个?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 11:33:19
 
或是看一个1N4148的,更明显:
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 12:17:36
 
谢谢文兄,草兄。我也弄出来了,我以前的PULSE 和时间步长设置不合适 ~
请教文兄,上面的*SRC ....;......;....:代表什么意思呢?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 12:21:20
 
*SRC=1N4148;DI_1N4148;Diodes;Si; 75.0V 0.300A 4.00ns Diodes Inc. -
这一行只是描述而已,没用。
BWT, 你看下26楼,荨兄的问题,我觉得那个仿真可以看出肖管的反向恢复了,11nS左右。
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 12:29:06
 
是的,非常逼真 !
二极管的反向恢复电流很有意思哦 :
从仿真的图上看:有一段时间:二极管电流反向了( N到P),但二极管的电压却是正向的(VP>VN) 。
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 12:30:34
 
描述的字体不是蓝色的么?看到是黑色的,以为是某个命令呢。呵呵
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 12:36:55
 
*是屏蔽的,如下:很多种方式来做 comments.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 12:38:29
 
哦 ,谢谢啊 ~
windh
  • windh
  • 离线
  • LV8
  • 副总工程师
  • 积分:2677
  • |
  • 主题:24
  • |
  • 帖子:1190
积分:2677
LV8
副总工程师
  • 2014-3-29 22:46:11
 
没有考虑分布电感。


那么,反向恢复电流,本质上,就是二极管的结电容放电。


因为电源变为0时,电容放电,于是就产生了反向恢复电流。


这时正常地。


但实际的电路,并非方波,而是断开。


既然是断开,那么二极管的结电容就没有机会放电。


或反向电压,如此作用,那么也是在断开或反向的瞬间,产生反向恢复电流,已经和你的测试电路,性质完全不同了。 
qiang136136
  • 积分:5
  • |
  • 主题:0
  • |
  • 帖子:1
积分:5
LV1
本网技工
  • 2017-7-25 20:22:40
 
楼主,.Tran 0 295n 195n  0.1n  具体指什么?谢谢
QWE4562009
  • 积分:13389
  • |
  • 主题:767
  • |
  • 帖子:2293
积分:13389
LV10
总工程师
  • 2020-7-28 08:29:41
 
同问------------------这些一串的数据是什么 用的  
michaelwanger
  • 积分:24
  • |
  • 主题:0
  • |
  • 帖子:4
积分:24
LV1
本网技工
  • 2018-6-27 18:56:52
 
我想用一个0~1V的电平来控制一个方波的占空比,使用SW开关,理想运放,都在运行中出现错误(仿真时间太小),请教版主有什么好办法?谢谢!
geek
  • geek
  • 离线
  • LV6
  • 高级工程师
  • 积分:1444
  • |
  • 主题:27
  • |
  • 帖子:107
积分:1444
LV6
高级工程师
最新回复
  • 2024-3-4 16:17:53
  • 倒数1
 
有谁知道这个图片 右上角调整参数的窗口怎么调出来?
QWE4562009
  • 积分:13389
  • |
  • 主题:767
  • |
  • 帖子:2293
积分:13389
LV10
总工程师
  • 2020-7-27 18:26:07
 
你好  版主  为什么我用mean命令仿真没有正确的数据出来呢?
邮洽图片20200727171725.jpg
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 14:12:25
 
中间插个小插曲:关于 荨兄及天堂花兄的反向恢复问题:
这里是几个模型,可以供参考:
Diode_recovery
Diode_recovery.zip
一共6个文件:

1. Drecovery_1N914
2. LT1373_5Vto12V_00_boost CCM 按荨兄的要求做的CCM BOOST
3. step_recovery_diode
4. STTH30R06_Diode_recovery
5. Diode_recovery_1n4148
6. DiodeReverseRecoveryTest 包括一个温度条件,这个来于yahoo group

一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 17:07:57
 
.options 这个命令怎么用,F1没有说明啊。文工,有没有LTSPICE的命令集呀?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 17:17:27
 
再一次说明,F1是万能的.
.options 是用来定义仿真器的, 如步长,算法这些,一般我没用。这些在control panel里有些也可以设置。


一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-27 17:27:26
 
有时间也请介绍下control panel 用法呀 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:56:47
 
control plan需要比较高级的水平才能设定,或是人为的改变一些仿真步长及精度,我一般不动那里.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 16:48:17
 
接17/18楼:
第一步,先生成常用的三角波及锯齿波.
锯齿波的生成.
如下二图设置即可:





QWE4562009
  • 积分:13389
  • |
  • 主题:767
  • |
  • 帖子:2293
积分:13389
LV10
总工程师
  • 2020-7-28 08:31:45
 
图片好模糊啊
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 16:56:35
 
17/18/36楼源文件:
triangular_sawtooth_wave_generator triangular_sawtooth.zip
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-27 18:20:17
 
文老大你的文工团还在吗
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 18:48:34
 
不在啊...解散了.
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-27 19:19:44
 
我跑到官方群里发白兔跳,马上就被踢了出来啊
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 19:43:35
 
嗯,还是老实点好啊。不扯其他的了,继续谈仿真。
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-27 18:39:41
 
看我的反向恢复

eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-27 19:43:19
 
第二步,反激电路仿真及实现,仍然分二步.
2.1 用Ltspice自己带的仿真例子来说明.
2.2. 用384X来仿真.


2.1
LT1241/42/43/44类似于UC384X

所有的模型在这里可以下载:
http://www.linear.com/designtools/software/demo_circuits.php
反激比较实用的类UC384X系列的:
LINEAR_LT1241_4_Flyback.ZIP

小法
  • 小法
  • 离线
  • LV8
  • 副总工程师
  • 积分:2764
  • |
  • 主题:31
  • |
  • 帖子:1489
积分:2764
LV8
副总工程师
  • 2014-3-28 23:42:15
 
TO 17楼/18楼,我把仿真时间设置为1ms,波形失真~~~是否我设置问题?文工,能否尝试下~~~谢谢!


eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 11:08:43
 
TO 47楼小法兄弟,这个多谢你指出,由于你定义的是1mS,相对于500KHz的源频率来说,需要处理的数据大多了,所以我们仿真几百个周期就不会失真,因为仿真软件算法处理这么小的数据量是没有问题的,类似于示波器的存储深度及采样时间.
对于大量的数据,特别是需要精细的数据的时候,我们可以设定 最小仿真步长, 这一样来可以采样的数据就越多,输出波形就越精确,如下所示,你可以更改不同的最小仿真步长看不同的结果:


小法
  • 小法
  • 离线
  • LV8
  • 副总工程师
  • 积分:2764
  • |
  • 主题:31
  • |
  • 帖子:1489
积分:2764
LV8
副总工程师
  • 2014-3-29 14:19:15
 
明白,谢谢~~~
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 09:33:12
 
文工,在LTspice原理图绘画中,都是大的十字光标,能改小十字光标吗?或者改成别的样式也行。大十字光标不习惯呀 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 10:50:04
 
至于这个光标,貌似没有办法.习惯就好了,呵呵.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 14:40:19
 
2.1 填谷电路PF测量
Ltspice里没有专门的PF测量模块,所以需要自己封装或是用最基本的语法来测量.
https://bbs.21dianyuan.com/176775.html 这个帖子里也有问填谷电路的电容如何选择,下面用一个简单的电路来表达.

从这个电路中可以学到:
1. 参数宏定义
2. 参数扫描分析
3.plot功能(以变量为坐标).可以直观看到变化趋势
仿真模型及参数设置如下:





如图中:
.params
+ Vi=220V
+ Fin=50Hz
param 以及 params都是用来宏定义参数的.方便仿真时作系统修改.也可以自己在元件参数中修改.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 14:45:33
 
* Measurements across the whole simulation period
.meas Vin RMS V(L,N)
.meas Iin RMS I(V1)
;.meas Imax MAX abs(I(V1))
.meas Pin AVG V(L,N)*(-I(V1))
.meas PF PARAM Pin/(Vin*Iin)
这里就是基本的测量语句,
1. 有效语法以逗号来作前缀 .meas 是所有测量的系统语法,具体F1.
2. 如果想屏蔽此语句,可以有三种方法,第一种改为comments,这样的话就变成了注释,第二种是前面用*号,第三种方法是前面加分号;.
参见下面的,
* Measurements across the whole simulation period 这句是注释,不参与运算
;.meas Imax MAX abs(I(V1)) 这行也不参与运算

eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 14:49:51
 
.step param C list 2uf 5uf 10uf 20uf 22uf 33uf 47uf 100u
这里用了一个多步仿真(参数扫描), step参考F1.
list 即是让C按list里的数值一步一步进行仿真.
要得到这样的效果,必须要元件的值里用 {} 大短号表达,如下图:



拒绝变帅
  • 积分:13639
  • |
  • 主题:66
  • |
  • 帖子:5527
积分:13639
LV10
总工程师
  • 2014-3-29 14:52:42
 
支持文工,要处理图片还是挺麻烦的,比较费时间。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 14:53:55
 
所以嘛,我一直喜欢你的图
小法
  • 小法
  • 离线
  • LV8
  • 副总工程师
  • 积分:2764
  • |
  • 主题:31
  • |
  • 帖子:1489
积分:2764
LV8
副总工程师
  • 2014-3-29 15:20:13
 
一下子更新这么多,有得摸索~~~
还好周末,有时间学学~~~
辛苦文工,赞个~~~
huhushuai
  • 积分:1902
  • |
  • 主题:31
  • |
  • 帖子:535
积分:1902
LV6
高级工程师
  • 2014-4-8 09:56:54
 
跟的这个学习了,以后就。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 14:53:25
 
.step param C list 2uf 5uf 10uf 20uf 22uf 33uf 47uf 100u
语法讲清了,那么开始仿真,填谷电容从2uF 慢慢升到 100uF.
结果如下:

eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:03:45
 
从仿真出来的波形看,输入电流就是我们经常看到的结果,但这样我们还不能直观地看到C的变化对PF的影响,这样的话,我会就回到了plot作图的地方,这里一个极为重要的地功能,即是扫描日志查看命令,这里面包括了所有的测量的值 .meas里所有的有效测量都在里面. 可以在
View- Spice Error log里,或是在图形界面里点右键,也会有View出现.不赘述. 二种方式整合在下图里(说真的图片处理是论坛一大软肋)
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:09:00
 
View- Spice Error log 调出仿真脚本日志:
如下可以看到 .meas 的结果都在.
Circuit: * C:UsersabcDesktopMeasurements PF.asc
.OP point found by inspection. // 变量 填谷电容 分步仿真
.step c=2e-006
.step c=5e-006
.step c=1e-005
.step c=2e-005
.step c=2.2e-005
.step c=3.3e-005
.step c=4.7e-005
.step c=0.0001
Measurement: vin
step RMS(v(l,n)) FROM TO
1 219.786 0 0.04
2 219.787 0 0.04
3 219.793 0 0.04
4 219.812 0 0.04
5 219.817 0 0.04
6 219.823 0 0.04
7 219.843 0 0.04
8 219.861 0 0.04
Measurement: iin // 输入电流有效值测量结果
step RMS(i(v1)) FROM TO
1 1.10044 0 0.04
2 1.12699 0 0.04
3 1.15897 0 0.04
4 1.1951 0 0.04
5 1.20011 0 0.04
6 1.22092 0 0.04
7 1.23852 0 0.04
8 1.27447 0 0.04
Measurement: pin // 输入平均测量结果
step AVG(v(l,n)*(-i(v1))) FROM TO
1 240.141 0 0.04
2 243.45 0 0.04
3 249.188 0 0.04
4 254.865 0 0.04
5 255.577 0 0.04
6 258.24 0 0.04
7 260.173 0 0.04
8 262.988 0 0.04
Measurement: pf // PF测量结果
step pin/(vin*iin)
1 0.992884
2 0.982852
3 0.978229
4 0.970189
5 0.968807
6 0.962198
7 0.955533
8 0.938554
Date: Sat Mar 29 14:36:03 2014
Total elapsed time: 2.853 seconds.
tnom = 27
temp = 27 // 温度默认为27度,温度很重要,以后会说到温度的影响.
method = modified trap
totiter = 13351
traniter = 13351
tranpoints = 6578
accept = 6411
rejected = 167
matrix size = 13
fillins = 9
Matrix Compiler1: 59 opcodes 0.6/0.4/[0.4]
Matrix Compiler2: 1.25 KB object code size 0.4/0.4/[0.2]
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:32:38
 
在log文件里点右键,会发现三个选项,一是find查找,二是画图,按变量画图.
plot .Step'ed .meas data
会出来一屏, 按图画即好,这个功能是我相当喜欢的...






一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:37:09
 
这使我想起了PSPICE,两者好象啊。
PSPICE这方面比LTspice要方便点。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:55:23
 
他们本来就是同源的.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:57:56
 
谢谢文工,今天学到好多。以前弄这些都要到PSpice去弄,原来LTspice就能弄的 ~
ckj_ck
  • 积分:14220
  • |
  • 主题:91
  • |
  • 帖子:953
积分:14220
LV10
总工程师
  • 2016-8-14 17:05:23
 
PSpice也可以这样子描点连线???
我只知道pspice能够直接用measure函数来对波形数据进行操作,貌似不能将measure出来的数据描点连线变成折线图吧,除非把measure数据导出用excel……
本帖最后由 ckj_ck 于 2016-8-14 17:06 编辑

ckj_ck
  • 积分:14220
  • |
  • 主题:91
  • |
  • 帖子:953
积分:14220
LV10
总工程师
  • 2016-8-14 17:12:43
 
比如,这样,这能出来数据,有办法连成线?
1.jpg
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:00:07
 
.meas Pin AVG V(L,N)*(-I(V1))
语法的中文意思是什么呢?(F1...英文不好 ~)
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:06:20
 
.meas Pin AVG V(L,N)*(-I(V1))
pin 即是输入功率,是人为设定的一个参数符号, AVG是求 V*I的平均值,即平均功率.
之所以是 V*(-I)是因为电源功率是这样定义的,具体参考 大学 电路原理 第一章, 参考方向. 如果不是负的也没有关系,只是看来不同了,类似于我们常用的功率计,有时显示功率是负的也是这个原因.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:09:56
 
呵呵,我想知道的你却没有解释(V*(-I)为什么- 自然了解)
.meas Pin AVG V(L,N)*(-I(V1)) 这个命令是干什么用的?帮翻译下啊。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:19:52
 
.meas Pin AVG V(L,N)*(-I(V1)) 这个命令是干什么用的?帮翻译下啊。
就是用来测量输入平均功率的,
.meas 是系统语法,见到上面52, 53楼及以下.
V(L,N) 即上面二个端点的电压,前面也定义了这是输入的有效值
I(V1) 即电源的电流
AVG 表示求平均值, 因为V*I是瞬间功率,需要求平均功率才好计算.
OK?
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:23:02
 
呵呵,看了你的脚本日志,已经理解了 ~
cajeptw
  • 积分:244
  • |
  • 主题:0
  • |
  • 帖子:24
积分:244
LV3
助理工程师
  • 2017-7-20 12:15:26
 
L,N是Net吧,为何我在GND加不上N 这个网络名
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-29 18:21:49
 
为何大学 电路原理而我是中专学的
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 18:37:14
 
不管你哪学的,哪时学的,你会就可以了.
伞相随
  • 积分:219
  • |
  • 主题:4
  • |
  • 帖子:17
积分:219
LV3
助理工程师
  • 2020-4-25 11:30:42
 
您好,请问我设置的输入是220V,输出是5V/1W的反激式开关电源,用的芯片是LT1242,为啥出来的波形就是不对呢

主电路图

主电路图

输出波形

输出波形
伞相随
  • 积分:219
  • |
  • 主题:4
  • |
  • 帖子:17
积分:219
LV3
助理工程师
  • 2020-4-25 11:32:16
 
是不是原边和副边不能共地,如果不能共地,那应该怎样解决呢?

一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:21:06
 
.meas PF PARAM Pin/(Vin*Iin)

可不可以写成
.meas PF Pin/(Vin*Iin)
也将PARAM去掉了 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:25:11
 
不可以,
.meas Vin RMS V(L,N)
.meas Iin RMS I(V1)
;.meas Imax MAX abs(I(V1))
.meas Pin AVG V(L,N)*(-I(V1))
.meas PF Param Pin/(Vin*Iin)
你看Vin Iin Imax Pin都有具体的定义(rms, max, avg), 而PF 是自己定义的参数,如果写成

.meas PF Pin/(Vin*Iin) 这里PF根本没有定义或是指定,或以一定要加入param自定义参数.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:33:05
 
1.great , 我也是这样想的,不然为啥只问您PF呢。呵呵
2.AVG V(L,N)*(-I(V1)) :为什么不能理解成:(V(L,N)的平均值)乘以((-I(V1)的瞬时值)?
这里面的优先级是?函数的优先级低于运算优先级?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:38:09
 
2.AVG V(L,N)*(-I(V1)) :为什么不能理解成:(V(L,N)的平均值)乘以((-I(V1)的瞬时值)? 这里面的优先级是?函数的优先级低于运算优先级?
Eric: 不是那样理解的,
.meas Vin RMS V(L,N)
.meas Iin RMS I(V1)
.meas Pin AVG V(L,N)*(-I(V1))

这三句已定义了, 所以你说的不成立, I(V1)已为有效值,不是瞬间值.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 15:43:58
 
质疑下, I(V1)应该不是有效值,有效值是Iin 。
要不你将I(V1) 给plot 下~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:45:35
 
sorry,你是对的,我看错了,你是对的.
.meas Pin AVG V(L,N)*(-I(V1))
I(V1)是瞬间值,多谢指正.
mandy2
  • mandy2
  • 离线
  • LV6
  • 高级工程师
  • 积分:1799
  • |
  • 主题:51
  • |
  • 帖子:901
积分:1799
LV6
高级工程师
  • 2014-3-31 22:37:32
 
剪线尾的
  • 积分:956
  • |
  • 主题:48
  • |
  • 帖子:172
积分:956
LV6
高级工程师
  • 2016-11-11 17:35:10
 
楼主  RMS 是一个运算吗?  RMS V(L ,N)是求有效值的意思吗?
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-3-29 14:54:12
 
请文工分享下仿真原文件 ~
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-1 10:01:59
 
.params
+ Vi=220V
+ Fin=50Hz
Vi 和Fin前面的+ 表示什么意思呢?是不是:.params(区别.param就要用 + ?)
.params在F1中没有解释,呵呵 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-1 10:19:50
 
params 就是param 的复数
+ 用来分行
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-1 10:31:37
 
呵呵,谢谢 ,刚试了下:
1) .param Vi=220V Fin=50Hz ==============可以 2).param ============= 不行
Vi=220V
Fin=50Hz
3) .param ============== 可以
+Vi=220V
+Fin=50Hz
4) 在定义时,命令符 .param = .params
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 15:44:20
 
2.1 填谷电路PF测量
52楼到72楼,仿真文件:
包括:
1. 填谷电容对PF的影响
2. 免费送一个负载变化对PF的影响
Measurements PF_vary C.rar
Measurements PF_vary Load.rar
gaohq
  • gaohq
  • 离线
  • LV10
  • 总工程师
  • 积分:12508
  • |
  • 主题:249
  • |
  • 帖子:3237
积分:12508
LV10
总工程师
  • 2014-3-29 20:13:18
 
文工帮我仿真下这个,我想看电容上的电压上升曲线,但出来的是条直线

eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-29 20:38:27
 
TO 81楼, 对于这种,一般采用脉冲电源方式,这样仿真出来才能显示常规的充放电曲线.
所以,一般对应零状态响应.
本例中, RC=R1*C1=100K*10*10-6=1S
Tao=3RC=3S,所以仿真需要3S左右方可达到2.5V.
如下图:
lehom
  • lehom
  • 离线
  • LV6
  • 高级工程师
  • 积分:1600
  • |
  • 主题:12
  • |
  • 帖子:461
积分:1600
LV6
高级工程师
  • 2014-7-12 15:52:31
 
也可以不用脉冲源,将电容参数添加一条ic=0(设置初始值为0)即可出来RC响应曲线
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-7-13 14:37:18
 
ic=0(设置初始值为0)
哈哈,这是高阶应用了.
lehom
  • lehom
  • 离线
  • LV6
  • 高级工程师
  • 积分:1600
  • |
  • 主题:12
  • |
  • 帖子:461
积分:1600
LV6
高级工程师
  • 2014-7-14 09:48:52
 
哈哈,和simplis一样。
shanying0000
  • 积分:790
  • |
  • 主题:5
  • |
  • 帖子:254
积分:790
LV6
高级工程师
  • 2015-7-20 21:08:37
 
大师求细节 怎么写入命令 设置电容初始值
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2015-7-20 21:13:36
 
直接加点就可以:


shanying0000
  • 积分:790
  • |
  • 主题:5
  • |
  • 帖子:254
积分:790
LV6
高级工程师
  • 2015-7-20 21:09:54
 
或者设置电容电压威为任意值 求指导
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 11:00:25
 
2.2 384x类典型反激仿真(带光耦及TL431)
在这之前,说一个很重要的语法,就是变压器耦合设计, K .
电工原理定义漏感系数:
K = sqrt(1-Lleak/sqrt(L1*L2))
Lleak = sqrt(L1*L2)*(1-K*K)

按F1, 查K.语法即为下:

Syntax: Kxxx L1 L2 [L3 ...] <coefficient>
L1 and L2 are the names of inductors in the circuit. The mutual coupling coefficient must be in the range of -1 to 1.The line
K1 L1 L2 L3 1

注意这里表明常规的反激,3个绕组,耦合系数为1,表明绝对耦合.即不存在漏感.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 14:03:21
 

.step param Leak list 0.0001u 0.1u 1u 3u 5u
这里漏感参数变化,可以看到VDS变化越来越扯淡, 虽然最大只有5%,但仿真波形看起来很痛苦.
分步5次扫描仿真如下:上面是Vgs驱动.
细分放大下:



P.S: 仿真受限很多,不代表实际结果.
荨麻草
  • 积分:9952
  • |
  • 主题:59
  • |
  • 帖子:3436
积分:9952
版主
  • 2014-3-30 14:08:35
 
DS关断尖峰稍微夸张了一些,可否给初级Lp或漏感Lk串联一个几Ω左右的小电阻试一试?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 14:58:21
 
用原边电感的ESR来模拟,从2.2R直接换到10R. 看到波形稍好看了点.



eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 14:59:38
 
源文件: LT1244_Leak.rar
至此,不再讨论凌力自己的模型. 以下的内容均来于非官网的模型及电路.


----至此,新手可以了解Ltspice的基本.算是达到了入门级水平.
2014/03/30 Eric Wen
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 14:27:03
 
再来一个负载: 从空载到半载到满载到过载的VDS及VGS波形.

这时由于屏蔽了漏感, 所以VDS比较好看.



这个的仿真源文件如下:
LT1244_DCM_BCM_CCM.rar
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-31 17:58:43
 
这个振荡有点丑啊
wanerlover
  • 积分:230
  • |
  • 主题:10
  • |
  • 帖子:28
积分:230
LV3
助理工程师
  • 2014-5-4 21:20:18
 
你好,老师,可不可以帮我分析这个电路图
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 21:47:06
 
2.2 384x类典型反激仿真(带光耦及TL431)
这次先放上仿真模型,打包如下,里面含有TL431,MOSFET,整流二极管,UC384X的模型.
所有文件打包压缩放在一个 英文路径 文件夹下.
uc3842_flyback_eric.rar
因为人为引入模型,导致仿真比较慢,需要20分钟左右.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-30 21:49:28
 
电路中,变压器耦合良好,系统设计输出14V左右,理论计算13.8V.频率120K左右.
仿真如下:


batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-31 17:57:07
 
Vds真漂亮,可否把振荡调到振荡至0V,就是Vds波形中电感放完电那一段的振荡第一个谷底。
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-3-31 18:06:06
 
请问文老大,Ltspice和麻草在讲述的Simplis仿真在电源仿真中,使用感觉是否相同?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-31 21:01:52
 
内核是一样的,所以没区别.
senlinmu
  • 积分:107
  • |
  • 主题:0
  • |
  • 帖子:1
积分:107
LV2
本网技师
  • 2020-5-2 17:36:01
 
为什么UC3845用不了,显示是未知的子电路。
mmc_21
  • 积分:11533
  • |
  • 主题:78
  • |
  • 帖子:818
积分:11533
LV10
总工程师
  • 2014-3-31 18:43:50
 
看看
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-3-31 21:39:36
 
SPICE[size=12.800000190734863px] (Simulation Program with Integrated Circuit Emphasis[size=12.800000190734863px])

Spice的一些常识:
http://electronicdesign.com/analog-amp-mixed-signal/free-downloadable-spice-tools-capture-and-simulate-analog-circuits
http://electronicdesign.com/print/analog-amp-mixed-signal/free-downloadable-spice-tools-capture-and-simulate-analog-circuits
Spice PSPICE Hspice TINA LTspice Simetrix区别

http://www.edn.com/electronics-blogs/anablog/4311485/Spice-simulation-Tina-TI-LTSpice-PSpice-and-more

美信上的一个说明:
http://www.maximintegrated.com/design/tools/modeling-simulation/spice/


SPICE Simulators

[size=13.333333015441895px] A broad array of SPICE simulators are available on the market. We understand that many engineers have strong preferences about which simulator they use. Maxim wants you to use the simulator of your choice. However, in case you do not have a favorite SPICE simulator, we have provided links to some popular ones.
[size=13.333333015441895px]

  • Ngspice Free, open source mixed-mode, mixed-level simulator
  • SPICE 3F5 Available at no cost from the University of California at Berkeley
  • SIMetrix Free (node-limited) mixed-mode simulator offering enhanced SPICE, schematic editing and waveform viewing
  • PSpice® Popular OrCAD® simulator (now owned by Cadence®) with a free, limited capabilities demo version
  • TopSpice Low-cost tool that includes schematic capture, waveform analysis, and PSpice and HSPICE® compatibility
  • TINA® Tool suite from DesignSoft that includes a SPICE simulator and can be run in the "cloud"
  • B2 Spice Low-cost, mixed-mode simulator from Beige Bag Software Inc., a division of EMAG Technologies Inc.

SPICE是在1975年由加利福尼亚大学伯克莱分校的Donald Pederson在电子研究实验室首先建立的。第一版和第二版都是用Fortran语言编写的,但是从第三版开始用C语言编写。以一"Computer Analysis of Nonlinear Circuits, Excluding Radiation"名为“CANCER”的电路仿真程序为蓝本,发展出今日几乎被全世界公认为电路仿真标准的SPICE原始雏型程序。今日在市面上所能看到的许多SPICE同类软件:如OrCADPSpice(OrCAD)、HSPICE(Meta-Software)、IS-SPICE(intusoft)、IG-SPICE(A. B.Associates)、I-SPICE(NCSS timesharing)…等,均是以SPICE2系列为基础再加改进而成的商业化产品。---- From Wiki (http://zh.wikipedia.org/wiki/SPICE)


既然内核是一样的,但速度与收敛是不同的:

From Wiki ( http://de.wikipedia.org/wiki/SPICE_(Software) )
mandy2
  • mandy2
  • 离线
  • LV6
  • 高级工程师
  • 积分:1799
  • |
  • 主题:51
  • |
  • 帖子:901
积分:1799
LV6
高级工程师
  • 2014-3-31 22:38:13
 
batteryli
  • 积分:4130
  • |
  • 主题:57
  • |
  • 帖子:1713
积分:4130
LV8
副总工程师
  • 2014-4-1 09:53:48
 
本是同根生……啊
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-1 20:07:35
 
3. 整流桥后LCL参数扫描分析


这个是个老生常谈的问题,具体参见我之前的一个帖子,涉及的内容包括: 参数扫描,及阶跃响应的设计.
https://bbs.21dianyuan.com/126365.html 主题: 基础问题1:整流桥后PI型滤波的几个问题:C1 C2的电压及成因分析?
https://bbs.21dianyuan.com/24774.html 主题: 桥堆之后的C-L-C滤波器如何设计??
https://bbs.21dianyuan.com/58193.html 主题: 求解电感二端并电阻
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-1 20:59:15
 
4. 元件误差分析
此例以一个TL431稳压来说明,这样更直白,电路很简单的,为了得到5V的稳压电源,此分析可以类推到常规的反馈回路元件误差分析上.



这里只说几个参数, 系统定义误差为元件R1 R2为5%,R1=R2=10K.
这里有个特别的电阻定义:
.param R1=wc(10k,tol1)
.param R2=wc(10k,tol1)
这里的定义比较特殊(我也是参考其他人的得到的),表明10K的R1 R2中心值是10K,误差是tol1,在本例中即为5%,即R1=R2=[10K*0.95 10K*1.05].
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-1 21:15:27
 
作50次仿真,用error log- step.plot画图,得到如下:



可以看到Vout可以从4.7V变化到5.3V. (注: 这是包括二个电阻误差的结合)
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-1 21:21:29
 
TL431 稳压电源仿真源文件如下:里面包括一个TL431模型,解压后全放在一个非中文目录下:
worst case TL431.rar


BTW. yahoo group里有一个运放恒流源的误差分析例子,里面引入的参数变量更多了,但大同小异:
Current-source_wc_yahoo.rar
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 08:13:16
 
再麻烦下文工
1. TL431模型可否分享下?我的TLspice库里面没有
2. 如何将您分享的TL431模型,加载到我现有的库里面?
谢谢文工 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 10:26:10
 
109楼就有啊,里面有TL431 asy/lib文件,你将那二个文件放在同一个目录下就可以.
或是直接对应复制到LTspice里的根目录里.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 11:05:24
 

里面包含了关于431的两个文件
门符号的是--“外形文件” ,
三极管符号的是---“原理图文件”,
不需要按照类别分别存放是吗?各放各的。
凡是模型(具备这两个文件),只需要将这两个文件放在同一个目录即可,是吗?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 13:05:17
 
有二种方式:第一种是我用的:也是对你的问题的答复。
1. 自己经常使用的,如TL431这种,有了asy和asc文件后,就可以调用了,我一般放在和主仿真文件放在同一目录下。 这样你可以方便传给别人,或是自己打包随时使用。
2. 放在LTspice根目录下,其根目录下有不同的文件夹,asy有个专门的文件,asc需要自己再建一个. 这样的好处,是你在自己电脑上随便哪里调用都可以调用得到。不需要在1的目录里去调用,但这样别人就不好用了,也不好携带。
OK?

一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 13:44:07
 
文工厉害 ~
有时间帮我看下111楼,你那个if语句再哪里可有看到解释呀,F1输入IF 关键字找不到呀 ~
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 10:06:04
 
还有一个问题:
.step param run 0 49 1 这个指令代表什么含义呢 ~
.function wc(nom,tol)
+ if(run==0, nom, if(flat(0.5)>0,nom*(1+tol),nom*(1-tol))) 请帮解释下这个函数。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 14:23:56
 
.step param run 0 49 1 这个指令代表什么含义呢 ~ : 这里只是定义run这个参数,从0到49,每次步长为1 (即仿真50次)
.function wc(nom,tol)
if(run==0, nom, if(flat(0.5)>0,nom*(1+tol),nom*(1-tol))) 先说if: 这里和C语言中的定义是一样的:
if(x,y,z) If x > .5, then y else z
如果run=0成立,即第一仿真时,电阻值即为中心值nom 10K. 然后所有的情况下,都在误差范围内变化.

Flat是一个均匀分布随机数函数. 其实第二个内嵌入if即是一个随机函数生成器,只是让电阻的值处于误差范围之内.
flat(x) Random number between -x and x with uniform distribution


然后function,即func自定义函数,具体F1.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 16:26:29
 
~
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 20:37:16
 
在下愚钝,继续请教:
.function wc(nom,tol) :表示定义一个函数wc,函数wc的变量有两个:nom和tol
这样理解没有错吧 ~
if(run==0, nom, if(flat(0.5)>0,nom*(1+tol),nom*(1-tol)))
1)第一次 run=0 ,电阻值 = nom
2)第二次run=1 ,电阻值=if(flat(0.5)>0,nom*(1+tol),nom*(1-tol)))
if(flat(0.5)>0,nom*(1+tol),nom*(1-tol)))值是多少?
如果:flat(0.5)>0 成立 ,if(flat(0.5)>0,nom*(1+tol),nom*(1-tol))) = nom*(1+tol),
如果:flat(0.5)>0 不成立 ,if(flat(0.5)>0,nom*(1+tol),nom*(1-tol))) = nom*(1-tol)
也就是说:第二次, 电阻值可能 = nom*(1+tol)或者nom*(1-tol),是随机的。

3)同理:第三次。。。结果: 电阻值可能 = nom*(1+tol)或者nom*(1-tol),是随机的
4)。。。
5)直到第50 次: 电阻值可能 = nom*(1+tol)或者nom*(1-tol),是随机的 。
这样理解是否对呢?
还有个问题
一般在C 语言中,函数先定义后引用,LTspice中,似乎不管先后顺序。只要有就行~
.param R1=wc(10k,tol) 我理解为将函数wc(nom,tol)的值赋给R1
nom,tol 是形参,10k,tol 是实参 。
函数体就是:if(run==0, nom, if(flat(0.5)>0,nom*(1+tol),nom*(1-tol)))
这样理解是否对呢?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 20:57:06
 
你的理解很对,LTSPICE不区分语句前后关系,只要有就可以.
你理解的赋值是对的.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 21:13:24
 
没有教程和熟悉的同事咨询,
没有系统地学习,只能看到一个不懂,就想法去弄懂它,其实蛮痛苦的 ,哈哈
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 21:19:07
 
这个软件还是比较好的,可以有问的地方.也不复杂.
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 21:20:29
 
在哪里可以提问呀?谢谢文工 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 21:27:20
 
yahoo group.
CLIN578949
  • 积分:5
  • |
  • 主题:0
  • |
  • 帖子:1
积分:5
LV1
本网技工
  • 2016-7-2 09:48:28
 
大神,我刚学LTspice不久,我下载了TL431的模型,但是不能使用,能帮我看看这是什么情况吗

TL431.png
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-7-12 17:14:00
 
To: CLIN578949
所有的文档都需要放在同一个目录下面,包括你建立的原理图。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 19:35:58
 
5. 温度分析,温度扫描分析
这个说白就是一个参数扫描,不过定义是温度而已.
温度特性对于三极管,二极管,稳压管组成的离散电路十分有用.下面以一个常规的二极管P-N结特性作为说明.来演示其效果. (来源yahoo group)
下面这个电路经常用,特别有意思.





可以看到,在不同的正向电流下,二极管PN结电压随温度变化.
附上仿真文件:
temperature_diode.rar
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 19:49:47
 
突然看到一个挺好的实例,关于MOSFET Rdson的正温度系数:
如下:








仿真文件如下:
rdson_temp.rar
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 21:31:44
 
帮你更直观化:
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-2 21:39:11
 
哈哈,多谢!
一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-2 22:19:50
 
Rg=3 Rd={3m*TKR} Rs={3m*TKR}
.param TKR=1+(Tj-25)*0.01
.step param Tj -55 155 2
观察了这个例子的命令
人为地将Rdson的设置成正温度系数,结果当然就是正温度系数了呀 ~
小法
  • 小法
  • 离线
  • LV8
  • 副总工程师
  • 积分:2764
  • |
  • 主题:31
  • |
  • 帖子:1489
积分:2764
LV8
副总工程师
  • 2014-4-2 23:16:12
 
请问下,图里的MODEL是怎样调出来的?


一花一天堂
  • 积分:4066
  • |
  • 主题:34
  • |
  • 帖子:1888
积分:4066
LV8
副总工程师
  • 2014-4-3 08:07:01
 
这个俺也不知道的 ~
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-3 16:29:06
 
你仔细看看,下面有个标准模型,是从系统里调出来的。
然后为了仿真,自己就重新定义一个模型。
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-4-3 16:27:58
 
哈哈,这个只是为了仿真温度参数及扫描命令。
wanerlover
  • 积分:230
  • |
  • 主题:10
  • |
  • 帖子:28
积分:230
LV3
助理工程师
  • 2014-12-22 20:23:41
 
你好,怎么知道哪条线是和哪个电流值对应的呢?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2015-1-21 19:52:13
 
对应可以一条条显示的.
夏满芒夏
  • 积分:122
  • |
  • 主题:0
  • |
  • 帖子:2
积分:122
LV2
本网技师
  • 2020-11-27 05:02:17
  • 倒数9
 
楼主您好,请问这里所得到的温度具体是哪里的温度呀? 环境温度吗? 因为我需要控制的是Tj,结温
sskthh
  • sskthh
  • 离线
  • LV6
  • 高级工程师
  • 积分:644
  • |
  • 主题:32
  • |
  • 帖子:222
积分:644
LV6
高级工程师
  • 2014-5-4 22:13:24
 
看着还可以的
p201kk
  • p201kk
  • 离线
  • LV6
  • 高级工程师
  • 积分:1505
  • |
  • 主题:32
  • |
  • 帖子:547
积分:1505
LV6
高级工程师
  • 2014-6-17 15:37:59
 
留名记载, 我写贴也好做做参考, 每个人理解的思路不同
铁板牛柳
  • 积分:406
  • |
  • 主题:8
  • |
  • 帖子:134
积分:406
LV6
高级工程师
  • 2014-7-13 17:31:26
 
谢谢楼主的介绍。楼主可以讲讲这个Ltspice与Pspice的比较么?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-7-13 18:04:58
 
http://www.gunthard-kraus.de/LTSwitcherCAD/SwitcherCAD-Tutorial_English/pdf-File/LTspice_4_e2.pdf
1. Introduction
Modern electronics needs circuit simulation -- only in this manner you can save time, cost and effort when designing new or modifying existing circuits. Every new idea can be tested without a real printed circuit board or a soldering iron. Therefore the “SPICE” program was developed before 1980 at the Berkeley University, running on FORTRAN machines. But the more important version for the „normal“ user is PSPICE ( = SPICE for the PC).
Around the „SPICE Kernel“ lot of people have programmed shells and programs for simple and intuitive usage and so you can find lot of software offers on the market. Most of the available programs are excellent, but huge and expensive and so we have to say „Thank You“ to Linear Technologies. They offer a free full SPICE-program named „LTspice“ without any restrictions. It was foreseen to simulate switching power supplies using the semiconductors of the enterprise…..but can also be used for nearly other electronic purpose. It can be downloaded from the web without any problems or fees but the usage is a little tricky -- a mixture of command lines, menues and mouse clicks. So it needs a lot of effort before the first own simulation. And this was the reason for an old professor like me to write this tutorial for other people.
mikeliran
  • 积分:181
  • |
  • 主题:2
  • |
  • 帖子:16
积分:181
LV2
本网技师
  • 2014-9-1 15:15:50
 
感谢楼主科普,但是这个东西在一些情况下还是不太好用吧,比如BUCK电路的低端接法,存在两个独立地?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2014-9-1 18:27:59
 
二个地可以用二个节点表示,地之间用一个大电阻连接.
huhushuai
  • 积分:1902
  • |
  • 主题:31
  • |
  • 帖子:535
积分:1902
LV6
高级工程师
  • 2014-9-3 21:55:13
 
没用过这个软件 ,纯支持下楼主吧。
大雪飘999
  • 积分:289
  • |
  • 主题:2
  • |
  • 帖子:11
积分:289
LV3
助理工程师
  • 2014-10-20 13:02:05
 
神,有正激变换器的光耦反馈电路吗?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2015-2-3 10:25:18
 
反馈电路无关拓扑吧.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2015-2-3 10:43:25
 
gsygsy194615
  • 积分:356
  • |
  • 主题:5
  • |
  • 帖子:73
积分:356
LV4
初级工程师
  • 2015-3-27 21:05:35
 
感觉不错,学习中
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2015-3-28 18:21:43
 
传送门:主题: 以后别说不会电源仿真,Ltspice电源仿真常用电源芯片模型
https://bbs.21dianyuan.com/209701.html
wanerlover
  • 积分:230
  • |
  • 主题:10
  • |
  • 帖子:28
积分:230
LV3
助理工程师
  • 2015-12-14 14:44:16
 
QQ截图20151214144103.jpg 如图是仿真电阻变化时,输出的电压
但是我怎么才能知道每一条代表的是哪个电阻值得输出呢?
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2015-12-25 16:35:52
 
1. 利用log 以变量为X轴显示
2, 分步显示,选择具体的值显示
LTspice-老文: QQ 154173933
miyaelza
  • 积分:88
  • |
  • 主题:1
  • |
  • 帖子:12
积分:88
LV1
本网技工
  • 2016-3-25 11:48:59
 
楼主好~请问在官网上只能下到.lib文件,如何导入LTSpice,自己画了模型.asy
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-3-25 12:37:06
 
如果是通用元件的话,直接在仿真.asc中加入元件描述即可。
miyaelza
  • 积分:88
  • |
  • 主题:1
  • |
  • 帖子:12
积分:88
LV1
本网技工
  • 2016-3-25 13:05:13
 
不好意思,请问具体怎么加入这个描述,运行的时候出现这个 RL8@Z5(VKL3S030BS$MUPNW.png
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-3-25 18:50:48
 
将你所有的文档附上吧、
miyaelza
  • 积分:88
  • |
  • 主题:1
  • |
  • 帖子:12
积分:88
LV1
本网技工
  • 2016-3-30 15:54:26
 
不知道是不是这个,麻烦您了!

Spice Model Editor - Version 16.2.0
*$
* LM5114B
*****************************************************************************
* (C) Copyright 2013 TexasInstruments Incorporated. All rights reserved.
*****************************************************************************
** This model is designed as an aid forcustomers of Texas Instruments.
** TI and its licensors and suppliers makeno warranties, either expressed
** or implied, with respect to this model,including the warranties of
** merchantability or fitness for aparticular purpose.  The model is
** provided solely on an "as is"basis.  The entire risk as to its quality
** and performance is with the customer
*****************************************************************************
*
** Released by: WEBENCH Design Center,Texas Instruments Inc.
* Part: LM5114B
* Date: 18FEB2013
* Model Type: TRANSIENT
* Simulator: PSPICE
* Simulator Version: 16.2.0.p001
* EVM Order Number: LM5114 EVAL BOARD
* EVM Users Guide: SNVA625–January 2012
* Datasheet: SNVS790D–JANUARY 2012–REVISEDAUGUST 2012
*
* Model Version: Final 1.00
*
*****************************************************************************
* Updates:
*
* Final 1.00
* Release to Web.
*****************************************************************************
.SUBCKT LM5114B  IN INB VDD P_OUT N_OUT VSS
X_S2   N14508796 VSS N_OUT VSS LM5114B_S2
R_R1        VSS N16508556  1k TC=0,0
X_U1        VDD N16505370 N000443 UVLO COMPHYS_BASIC_GEN PARAMS: VDD=10 VSS=0
+ VTHRESH=0.5
V_V4        N16505537 VSS 2.4
X_U2        IN N16505537 N000643 N14509334 COMPHYS_BASIC_GEN PARAMS: VDD=5
+ VSS=0 VTHRESH=0.5
X_U6        N16508556 N14508796 INV_BASIC_GEN PARAMS: VDD=5 VSS=0
+ VTHRESH=500E-3
V_V1        N000443 VSS 0.4
X_U3        INB N16505719 N000843 N16504880 COMPHYS_BASIC_GEN PARAMS: VDD=5
+ VSS=0 VTHRESH=0.5
X_U4        N16504880 N3 INV_BASIC_GEN PARAMS: VDD=5 VSS=0 VTHRESH=500E-3
V_V5        N000843 VSS 1.6
X_U5        UVLO N14509334 N3 N16510505 AND3_BASIC_GEN PARAMS: VDD=5 VSS=0
+ VTHRESH=500E-3
V_V2        N16505370 VSS 3.8
T_T1        N16510505 VSS N16508556 VSS Z0=1000 TD=10n  
V_V6        N16505719 VSS 2.4
X_S1   N14508796 VSS VDD P_OUT LM5114B_S1
V_V3        N000643 VSS 1.6
.ENDS LM5114B
*$
.SUBCKT LM5114B_S2 1 2 3 4  
S_S2        3 4 1 2 _S2
RS_S2         1 2 1G
.MODEL         _S2 VSWITCH Roff=1e6 Ron=0.66Voff=0.4V Von=0.6V
.ENDS LM5114B_S2
*$
.SUBCKT LM5114B_S1 1 2 3 4  
S_S1        3 4 1 2 _S1
RS_S1         1 2 1G
.MODEL         _S1 VSWITCH Roff=1e6 Ron=3.85Voff=0.6V Von=0.4V
.ENDS LM5114B_S1
*$
.SUBCKT COMPHYS_BASIC_GEN INP INM HYS OUTPARAMS: VDD=1 VSS=0 VTHRESH=0.5
EIN INP1 INM1 INP INM 1
EHYS INP1 INP2 VALUE { IF( V(1) >{VTHRESH},-V(HYS),0) }
EOUT OUT 0 VALUE { IF( V(INP2)>V(INM1),{VDD} ,{VSS}) }
R1 OUT 1 1
C1 1 0 5n
RINP1 INP1 0 1K
.ENDS COMPHYS_BASIC_GEN
*$
.SUBCKT AND3_BASIC_GEN A B C Y PARAMS:VDD=1 VSS=0 VTHRESH=0.5
E_ABMGATE   YINT 0 VALUE {{IF(V(A) > {VTHRESH} &  
+ V(B) > {VTHRESH} &
+ V(C) > {VTHRESH},{VDD},{VSS})}}
RINT YINT Y 1
CINT Y 0 1n
.ENDS AND3_BASIC_GEN
*$
.SUBCKT INV_BASIC_GEN A  Y PARAMS: VDD=1 VSS=0 VTHRESH=0.5
E_ABMGATE   YINT 0 VALUE {{IF(V(A) > {VTHRESH} ,
+ {VSS},{VDD})}}
RINT YINT Y 1
CINT Y 0 1n
.ENDS INV_BASIC_GEN
*$
.SUBCKT POWERMOS G D S PARAMS: RDSON=16mCiss=1375p Crss=70p Coss=340p VSP=3.5 RG=1
* This is a simple model for Power MOSFET.
* The parameters modeled are
* - RDSon,
* - Input Capacitance,
* - Reverse capacitance,
* - Output capacitance,
* - Switching point voltage (Gate voltagewhere the FET starts switching),
* - Gate Resistance
C_C1        S Da  {Coss} IC=0
R_R1        Da D  10
C_C2        Ga D  {Crss}  IC=0
R_R2        G Ga {RG}
C_C3        Ga S  {Ciss} IC=0
D_D1        S Db Dbreak
R_R3        Db D 1m
S_switchM         D S Ga S _switchM
RS_switchM         Ga S 100Meg
.MODEL         _switchM VSWITCH Roff=100e6Ron={RDSON} Voff=1.1 Von={VSP}
.model Dbreak D Is=1e-14 Cjo=.1pF Rs=.01
.ENDS POWERMOS
*$
.MODEL DIODE D
+ RS=.5
+ CJO=100.00E-15
+ M=.3333
+ VJ=.75
+ ISR=100.00E-12
+ BV=100
+ IBV=100.00E-6
+ TT=5.0000E-9
*$

eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-3-30 19:03:26
 
打包吧。。。所有的!
miyaelza
  • 积分:88
  • |
  • 主题:1
  • |
  • 帖子:12
积分:88
LV1
本网技工
  • 2016-4-6 10:49:05
 
里面的lib文件是在TI官网上下的,asy是我自己画的

谢谢您了!

LM5114.rar

1.92 KB, 下载次数: 1011, 下载积分: 财富 -2

天口756
  • 积分:43
  • |
  • 主题:0
  • |
  • 帖子:1
积分:43
LV1
本网技工
  • 2021-11-27 19:28:01
  • 倒数3
 
您好!这个问题解决了吗?TI的Pspice模型导到LTspice  好像运行起来都有问题。
我导入的LM51551模型也有问题,先是语法错误,多了一个“}”,排除了,不报故障了,但是还是不能运行!


airma1981
  • 积分:13
  • |
  • 主题:0
  • |
  • 帖子:3
积分:13
LV1
本网技工
  • 2016-3-31 17:20:53
 
楼住:

你好!~我想使用TI TPS54331 到LTSPICE 进行仿真,元件导进去了不能用是为什么?麻烦指点一下
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-3-31 19:27:53
 
为啥不用TI自己的WEBENCH[size=0.7em]®
http://www.ti.com.cn/product/cn/TPS54331/toolssoftware
airma1981
  • 积分:13
  • |
  • 主题:0
  • |
  • 帖子:3
积分:13
LV1
本网技工
  • 2016-3-31 20:07:24
 
我只是想测试一下用LTSPICE添加第三方库,刚好选择了TPS43331,由于用不了也不知道出了什么问题
谢谢
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-3-31 20:08:50
 
不会特别复杂,只需要自己画个symbol就好,然后关联 lib.文件。
airma1981
  • 积分:13
  • |
  • 主题:0
  • |
  • 帖子:3
积分:13
LV1
本网技工
  • 2016-3-31 20:15:49
 
斑竹:

  有没有做一下LTSPICE的教程共享一下!
谢谢
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2016-3-31 20:21:08
 
见3楼的link.顺便说下,如果只是感兴趣而不是需要用到的话,没必要学。
bobery
  • bobery
  • 离线
  • LV6
  • 高级工程师
  • 积分:517
  • |
  • 主题:12
  • |
  • 帖子:158
积分:517
LV6
高级工程师
  • 2016-7-19 10:05:38
 
谢谢分享
bxj8kfj
  • 积分:64
  • |
  • 主题:1
  • |
  • 帖子:2
积分:64
LV1
本网技工
  • 2017-9-29 20:53:01
 
大神,能不能帮忙看看这个问题:
1.png
这是class e谐振整流电路,L1和C1是需要用ltspice调的。
令:
2.png
怎么对f和z编写指令,使满足下面2条:1.输入电压源输出要求的功率;2.输入电压和输入电流同相位??


cajeptw
  • 积分:244
  • |
  • 主题:0
  • |
  • 帖子:24
积分:244
LV3
助理工程师
  • 2017-7-21 19:02:29
 
版主,菜鸟刚开始搞电源,看了您的帖子,兴致以来画了一个推挽官光耦隔离反馈升压原理图,但驱动IC SG3525 却没有PWM 输出,后面都不工作PS:   SG3525开环推挽升压 push-pull00.asc源文件是从网上下的,push-pull01.asc是我现在自己调试的实际电路原理图,现在仿真连输出都没有啦,好奇怪啊!
xueluowu
  • 积分:1306
  • |
  • 主题:2
  • |
  • 帖子:303
积分:1306
LV6
高级工程师
  • 2016-9-9 14:56:46
 
  跟着文总学仿真,不走弯路,直达主题,好学易用,值得赞扬。谢谢!
ckj_ck
  • 积分:14220
  • |
  • 主题:91
  • |
  • 帖子:953
积分:14220
LV10
总工程师
  • 2016-9-10 13:28:57
 
+1
+1
+1
Iverson之路
  • 积分:136
  • |
  • 主题:2
  • |
  • 帖子:16
积分:136
LV2
本网技师
  • 2016-10-25 14:50:58
 
文工,能不能帮我看看这个电路,前级是驱动电路,主要是用来驱动E类功放的MOS管。如果前级电路单独仿真,能输出正负12V左右的电压,但连上MOS管后,就变成正负2V了,根本驱动不了MOS管,该如何解决
`VT[LT%LD()4B5P_Q]@}GCC.png
ckj_ck
  • 积分:14220
  • |
  • 主题:91
  • |
  • 帖子:953
积分:14220
LV10
总工程师
  • 2016-10-27 12:55:27
 
老文太忙,我来帮忙给个建议,献丑了
我估计你是前级推不动mos,电流太小,你可以查看在gate电压,和流进gate的电流。
可尝试采取的解决方法:
你可以在mos前面加一个推挽驱动电路,

Iverson之路
  • 积分:136
  • |
  • 主题:2
  • |
  • 帖子:16
积分:136
LV2
本网技师
  • 2016-10-27 16:04:04
 
谢啦谢啦,小弟就是菜鸟,有建议总比我不知道干啥强多了,OK,我来试试看
阿董Andy
  • 积分:202
  • |
  • 主题:3
  • |
  • 帖子:18
积分:202
LV3
助理工程师
  • 2016-11-18 10:11:04
 
求解我打开UCC28070测试电路显示无法找到irfp460
浪迹天涯1885
  • 积分:674
  • |
  • 主题:0
  • |
  • 帖子:59
积分:674
LV6
高级工程师
  • 2017-1-18 19:29:46
 
学习学习~
五德
  • 五德
  • 离线
  • LV6
  • 高级工程师
  • 积分:517
  • |
  • 主题:5
  • |
  • 帖子:63
积分:517
LV6
高级工程师
  • 2017-2-27 22:05:45
 
跟着学了几天。开始自己画个图试试。
以前会用仿真软件proteus、protel99仿真个运放等简单电路,电源还没有弄过。这次试试

xsbh0310
  • 积分:12
  • |
  • 主题:0
  • |
  • 帖子:2
积分:12
LV1
本网技工
  • 2017-2-23 10:42:24
 
学习...
gao1398157628
  • 积分:23
  • |
  • 主题:1
  • |
  • 帖子:3
积分:23
LV1
本网技工
  • 2018-11-13 10:43:57
 
.MEAS TRAN res6 WHEN V(x)=3*V(y)

Print the first time the condition V(x)=3*V(y) is met. This will be labeled res6.

想问个问题,帮助里的这句话是看第一次符合V(x)=3*V(y) 条件时的时间,那如果想看第3次符合此条件的时间应该怎么办呢?我尝试过在后面加上CROSS=3,但是没有用
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2018-12-20 17:20:43
 
理论上没有问题,可以传上文件来看看。
ckj_ck
  • 积分:14220
  • |
  • 主题:91
  • |
  • 帖子:953
积分:14220
LV10
总工程师
  • 2019-1-27 20:58:39
 
333.jpg

语法没问题,你要确定时域是否存在这个情况为前提
xijin
  • xijin
  • 离线
  • LV1
  • 本网技工
  • 积分:79
  • |
  • 主题:0
  • |
  • 帖子:1
积分:79
LV1
本网技工
  • 2019-3-9 10:49:22
 
你好楼主请教一下。这个有遇到么,作为新手不知道怎么处理











123.png
theodore
  • 积分:602
  • |
  • 主题:0
  • |
  • 帖子:90
积分:602
LV6
高级工程师
  • 2019-4-1 16:30:07
 
开始学习!
ly-aa11
  • 积分:528
  • |
  • 主题:0
  • |
  • 帖子:41
积分:528
LV6
高级工程师
  • 2019-5-23 10:29:59
 
开始学习LTSPICE
Shanf
  • Shanf
  • 离线
  • LV6
  • 高级工程师
  • 积分:452
  • |
  • 主题:2
  • |
  • 帖子:18
积分:452
LV6
高级工程师
  • 2019-11-25 09:47:17
 
请教一下各位大佬,使用LTspice仿真出现"too few nodes"是什么意思,怎么解决呢。(模型是在TI官网下载的,非加密模型)
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2019-11-25 09:50:24
 
这样的问题,最好将报有的资料打包传上来。毕竟才几k的文件。
Shanf
  • Shanf
  • 离线
  • LV6
  • 高级工程师
  • 积分:452
  • |
  • 主题:2
  • |
  • 帖子:18
积分:452
LV6
高级工程师
  • 2019-11-25 09:53:17
 
这个,麻烦您看一下

slvmcq6a.zip

74.2 KB, 下载次数: 5, 下载积分: 财富 -2

eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2019-11-25 10:07:27
 
sym文件是你自己做的?压缩包里只有lib文件。
Shanf
  • Shanf
  • 离线
  • LV6
  • 高级工程师
  • 积分:452
  • |
  • 主题:2
  • |
  • 帖子:18
积分:452
LV6
高级工程师
  • 2019-11-25 10:15:54
 
不是,这个是直接下载下来的,我只是初步对里面的文件进行仿真看能不能用
Shanf
  • Shanf
  • 离线
  • LV6
  • 高级工程师
  • 积分:452
  • |
  • 主题:2
  • |
  • 帖子:18
积分:452
LV6
高级工程师
  • 2019-11-25 10:39:08
 
抱歉,仿真文件在这里,是我的疏忽

TPS63805.zip

91.55 KB, 下载次数: 18, 下载积分: 财富 -2

Shanf
  • Shanf
  • 离线
  • LV6
  • 高级工程师
  • 积分:452
  • |
  • 主题:2
  • |
  • 帖子:18
积分:452
LV6
高级工程师
  • 2019-11-25 09:50:37
 
像这样
1.jpg
invincibleggn
  • 积分:130
  • |
  • 主题:0
  • |
  • 帖子:6
积分:130
LV2
本网技师
  • 2020-1-21 09:05:33
 
问下你是怎么辨认的是未加密模型,我也有too few nodes的问题,上bing国际版搜有个哥们儿说TI在网络的模型都是加密的,这怎么辨别哪个是加密的哪个是未加密的啊?
附网址如下:
https://electronics.stackexchange.com/questions/475187/getting-following-error-in-ltspice-simulation-too-few-nodes




invincibleggn
  • 积分:130
  • |
  • 主题:0
  • |
  • 帖子:6
积分:130
LV2
本网技师
  • 2020-1-21 09:19:12
 
解决了,文档长这样的是加密的
附网址:
https://e2e.ti.com/support/tools/sim-hw-system-design/f/234/t/692603




invincibleggn
  • 积分:130
  • |
  • 主题:0
  • |
  • 帖子:6
积分:130
LV2
本网技师
  • 2020-1-21 09:23:32
 
老哥我刚看了你压缩包里的lib文档,里面有乱码的是加密的啊,只能用pspice这些工具的

顺便@一下楼主,TINA里面导入TI官方pspice模型的时候的时候为啥有无效器件这种说法,虽然是加密的,但是TINA也是TI自家的软件啊?



invincibleggn
  • 积分:130
  • |
  • 主题:0
  • |
  • 帖子:6
积分:130
LV2
本网技师
  • 2020-1-21 09:24:25
 
附图
06b75078a6d17115a02e87042644928.png
invincibleggn
  • 积分:130
  • |
  • 主题:0
  • |
  • 帖子:6
积分:130
LV2
本网技师
  • 2020-1-21 11:20:45
 
问下LTSPICE有什么办法能把光标调亮一点吗,现在用的时候光标得找好长时间
invincibleggn
  • 积分:130
  • |
  • 主题:0
  • |
  • 帖子:6
积分:130
LV2
本网技师
  • 2020-1-21 11:21:26
 
附图如下,现在光标在右上角C3的旁边,根本看不见
300ac16e64b84ee6f25224c4f490466.png
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2020-1-24 16:33:02
 
哪个版本呢?将背景色调下?
伞相随
  • 积分:219
  • |
  • 主题:4
  • |
  • 帖子:17
积分:219
LV3
助理工程师
  • 2020-4-25 11:44:14
 
版主您好,请问,我设置的是输入220V,输出5V/1W的基于LT1242的反激开关电源,设置完参数之后为啥输出的波形就没有达到预期呢,是不是输出输入共地的原因,怎样让两边不共地呢?

主电路图

主电路图

输出波形

输出波形
leiz
  • leiz
  • 离线
  • LV8
  • 副总工程师
  • 积分:3373
  • |
  • 主题:38
  • |
  • 帖子:545
积分:3373
LV8
副总工程师
  • 2020-4-26 08:57:06
 
不是因为输入输出共地的问题,建议你看下这个芯片自身的demo电路.
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2020-7-6 22:56:08
 
和共地无关,仿真中是不分地的。
L2的电感量错误,0.15?
leiz
  • leiz
  • 离线
  • LV8
  • 副总工程师
  • 积分:3373
  • |
  • 主题:38
  • |
  • 帖子:545
积分:3373
LV8
副总工程师
  • 2020-4-23 10:28:16
 
版主,电压源如何设置一个倒着的馒头波?
微信图片_20200423102736.jpg
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2020-7-6 22:57:54
 
我想下,这个倒过来的怎么弄,应该可以通过源进行运算得到。
soso886
  • 积分:151
  • |
  • 主题:0
  • |
  • 帖子:11
积分:151
LV2
本网技师
  • 2020-5-6 21:09:21
 
支持,学习一下。
瓦卡拉卡拉
  • 积分:260
  • |
  • 主题:1
  • |
  • 帖子:2
积分:260
LV3
助理工程师
  • 2020-6-20 13:52:49
 
关于LTspice的使用问题,刚刚接触,望解答,谢谢
@6G`I5TCK8{4UT4R[{}RCQC.png
QQ截图20200620125534.png
theodore
  • 积分:602
  • |
  • 主题:0
  • |
  • 帖子:90
积分:602
LV6
高级工程师
  • 2020-7-1 17:19:30
 
感谢
hemit
  • hemit
  • 离线
  • LV3
  • 助理工程师
  • 积分:263
  • |
  • 主题:0
  • |
  • 帖子:15
积分:263
LV3
助理工程师
  • 2020-7-30 11:05:35
 
全部看完,给楼主点赞
tjmdmw
  • 积分:161
  • |
  • 主题:0
  • |
  • 帖子:15
积分:161
LV2
本网技师
  • 2020-9-8 15:47:21
  • 倒数10
 
全部看完,还得仔细琢磨
diablo77521
  • 积分:1567
  • |
  • 主题:49
  • |
  • 帖子:218
积分:1567
LV6
高级工程师
  • 2021-2-3 18:28:05
  • 倒数8
 
bucyi
QWE4562009
  • 积分:13389
  • |
  • 主题:767
  • |
  • 帖子:2293
积分:13389
LV10
总工程师
  • 2021-2-21 16:03:47
  • 倒数7
 
???

yxk
  • yxk
  • 离线
  • LV2
  • 本网技师
  • 积分:107
  • |
  • 主题:0
  • |
  • 帖子:1
积分:107
LV2
本网技师
  • 2021-3-29 11:40:55
  • 倒数6
 
请问变压器仿真耦合系数可以是变量吗
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2021-4-29 11:01:18
  • 倒数5
 
可以的,一样的设定方式  k L1 L2 a。
wanyehua
  • 积分:162
  • |
  • 主题:0
  • |
  • 帖子:4
积分:162
LV2
本网技师
  • 2021-7-5 08:17:32
  • 倒数4
 
版主辛苦,很好的帖子!
yywang
  • yywang
  • 离线
  • LV6
  • 高级工程师
  • 积分:1257
  • |
  • 主题:0
  • |
  • 帖子:12
积分:1257
LV6
高级工程师
  • 2022-3-29 08:46:12
  • 倒数2
 
学习
热门技术、经典电源设计资源推荐

世纪电源网总部

地 址:天津市南开区黄河道大通大厦8层

电 话:400-022-5587

传 真:(022)27690960

邮 编:300110

E-mail:21dy#21dianyuan.com(#换成@)

世纪电源网分部

广 东:(0755)82437996 /(138 2356 2357)

北 京:(010)69525295 /(15901552591)

上 海:(021)24200688 /(13585599008)

香 港:HK(852)92121212

China(86)15220029145

网站简介 | 网站帮助 | 意见反馈 | 联系我们 | 广告服务 | 法律声明 | 友情链接 | 清除Cookie | 小黑屋 | 不良信息举报 | 网站举报

Copyright 2008-2024 21dianyuan.com All Rights Reserved    备案许可证号为:津ICP备10002348号-2   津公网安备 12010402000296号