世纪电源网社区logo
社区
Datasheet
标题
返回顶部
原创

低功耗电源管理芯片

[复制链接]
查看: 1369 |回复: 8
1
wangwei1234
  • 积分:118
  • |
  • 主题:2
  • |
  • 帖子:7
积分:118
LV2
本网技师
  • 2019-2-19 09:31:56
艾创微高性能电流模式 PWM 控制器ICW203。专为高 性 价 比 AC/DC 转 换 器 设 计 。 在85V-265V 的宽电压范围内提供高达18W 的连续输出功率,峰值输出功率更可达24W。优化的高合理性的电路设计结合高性能价格比的双极型制作工艺,最大程度上节约了产品的整体成本。该电源控制器可工作于典型的反激电路拓扑中,构成简洁的 AC/DC 转换器。IC 内部的启动电路被设计成一种独特的电流吸入方式,可利用功率开关管本身的放大作用完成启动,这显著地降低了启动电阻的功率消耗;而在输出功率较小时 IC 将自动降低工作频率,从而实现了极低的待机功耗。在功率管截止时,内部电路将功率管反向偏置,直接利用了双极性晶体管的CB 高耐压特性,大幅提高功率管的耐电压能力直到 700V 的高压,这保证了功率管的安全。IC 内部还提供了完善的防过载防饱和功能,可实时防范过载、变压器饱和、输出短路等异常状况,提高了电源的可靠性。电流限制及时钟频率可由外部器件进行设定。现可提供DIP7的标准封装和满足欧洲标准的环保无铅封装。

ICW203N Datasheet_REV.A.pdf

466.22 KB, 下载次数: 11, 下载积分: 财富 -2

wangdongchun
  • 积分:41116
  • |
  • 主题:751
  • |
  • 帖子:6830
积分:41116
LV12
专家
  • 2019-2-19 21:19:34
  • 倒数8
 
这个IC倒是可以尝试一下
wangwei1234
  • 积分:118
  • |
  • 主题:2
  • |
  • 帖子:7
积分:118
LV2
本网技师
  • 2019-2-22 10:18:16
  • 倒数6
 
需要的话可以联系我
wangdongchun
  • 积分:41116
  • |
  • 主题:751
  • |
  • 帖子:6830
积分:41116
LV12
专家
  • 2019-2-22 12:38:11
  • 倒数4
 
好的   最好来几片样片先试试看
wangwei1234
  • 积分:118
  • |
  • 主题:2
  • |
  • 帖子:7
积分:118
LV2
本网技师
  • 2019-2-27 10:17:16
  • 倒数3
 
样片可以给你寄几片,邮费需要自理哦。

wangdongchun
  • 积分:41116
  • |
  • 主题:751
  • |
  • 帖子:6830
积分:41116
LV12
专家
  • 2019-2-27 12:49:50
  • 倒数2
 
好的,有空联系你
cyx7610
  • 积分:16461
  • |
  • 主题:80
  • |
  • 帖子:3613
积分:16461
LV10
总工程师
  • 2019-2-21 20:12:48
  • 倒数7
 
可以试一试。
wangwei1234
  • 积分:118
  • |
  • 主题:2
  • |
  • 帖子:7
积分:118
LV2
本网技师
  • 2019-2-22 10:18:41
  • 倒数5
 
效率还可以
hezaofen2008
  • 积分:715
  • |
  • 主题:0
  • |
  • 帖子:34
积分:715
LV6
高级工程师
最新回复
  • 2019-4-20 19:54:47
  • 倒数1
 
值得学习
热门技术、经典电源设计资源推荐

世纪电源网总部

地 址:天津市南开区黄河道大通大厦8层

电 话:400-022-5587

传 真:(022)27690960

邮 编:300110

E-mail:21dy#21dianyuan.com(#换成@)

世纪电源网分部

广 东:(0755)82437996 /(138 2356 2357)

北 京:(010)69525295 /(15901552591)

上 海:(021)24200688 /(13585599008)

香 港:HK(852)92121212

China(86)15220029145

网站简介 | 网站帮助 | 意见反馈 | 联系我们 | 广告服务 | 法律声明 | 友情链接 | 清除Cookie | 小黑屋 | 不良信息举报 | 网站举报

Copyright 2008-2024 21dianyuan.com All Rights Reserved    备案许可证号为:津ICP备10002348号-2   津公网安备 12010402000296号