世纪电源网社区logo
社区
Datasheet
标题
返回顶部
原创
原创

基于MCHP 16bit dsPIC33CK系列全数字电源入门同步Buck

  [复制链接]
查看: 14806 |回复: 150
1
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-27 11:14:05
好久没有过来写帖子了,之前还是在2018年写了一篇三相三电平Vienna的文章,反响还不错。这次准备花费一点时间制作一个全数字电源Demo,基于Mircochip全新dsPIC33C系列,可能时间周期有点长,但是我尽量坚持做完。随着数字化的到来,很多传统电源由模拟控制平台转向数字平台,而作为一线工程师觉得数字电源比较难进入,借着这次机会,我将会逐步的介绍全数字电源的详细制作过程。主要内容包括如下:
1.Buck变换器的由来;
2.Buck变换器工作原理仿真及分析(基于Saber);
3.Buck变换器计算(基于Mathcad);
4.Buck变换器功率级小信号分析(基于Saber/Mathcad/Matlab);
5.Buck变换器环路分析与设计
5.1 环路设计知识要点分享;
5.2 各种补偿器的设计以及Bode图(基于Mathcad)
5.3 S域设计;
基于dsPIC33C内部模拟器件实现峰值电流控制的Sync buck,只需配置底层,不需要调用算法(Type 2/3型补偿);
5.4 S域到Z域的变换以及系数的确定(基于DCDT/Mathcad/Matlab);
5.5 DSP 补偿器3P3Z实现方式;
6.尽量实现峰值电流控制模式/电压控制模式/平均电流控制;
6. 代码说明
7.其他
支持UART/I2C/CAN(FD)等协议,OLED显示

Demo板的初步规格如下:
Vin:9-18Vdc;
Vout:3.3-5Vdc;
Iomax:2A;
工作频率:fs = 350kHz;
支持逐周期限流,过流保护,输入输出过欠压保护。。。
Demo 板的具体情况我另外开了一贴,请移步至:https://bbs.21dianyuan.com/thread-331846-1-1.html

我知道这是一个比较大的工程,要想把这个写完,确实需要花费一些精力,我的愿望是大家通过这个帖子能对数字电源有个初步的概念,知道是怎么回事。当然了,毕竟本人水平有限,在这个过程中有不对的地方,还请各位拍砖留言。谢谢。

附件是我初步的原理图,有什么不对的还请指正,后续优化后再上传。
针对该系列,我做了一个系列视频,集成了电压控制模式、峰值电流控制模式和平均电流控制模式的三种控制方案,如果大家有兴趣,可以参考寺庙发型师的个人空间_哔哩哔哩_bilibili
目录如下;
1.开发资源的介绍
2.外设的配置
3.利用Kp法获取功率级Bode图
4.实现3p3z补偿器的闭环调节
5.ADC触发优化#1
6.ADC触发优化#2
7.自适应增益控制AGC
8.峰值电流控制模式原理
9.峰值电流控制模式实现
10.峰值电流控制模式次谐波振荡
11.平均电流模式控制原理
12.平均电流模式控制电流采样时刻
13.双环(电压外环+电流内环)控制模式分析
14.平均电流模式控制的实现

15.多SAR ADC内核16.高分辨率PWM原理
17.设计数字控制器 - s域补偿器设计
18.设计数字控制器 - s域到z域的映射
19.设计数字控制器 - AB系数计算
20.设计数字控制器 - LDE执行
。。。。









Sync_buck_V2.1.pdf

85.11 KB, 下载次数: 450, 下载积分: 财富 -2

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:20:47
 
Buck的由来

电力电子的发展史我不想多说,经过几十年的发展由最初的线性电源低效率、大体积到目前的高频、小体积和高效率。下面将介绍三种最基本的拓扑之一Buck变换器是如何演变过来的。

学过电子的应该都知道,如何从一个电压(高)得到自己想要的电压值(低),可能最简单的方式就是通过电阻分压,如下面的方式。
Volt Div.png

这种方式最方便快捷,现在一般的电压采样基本采用这种方式,但是如果功率稍微大一点呢?由于R1和R2是串联的,所以在R1上的损耗不可忽视,如果所要的电压值远低于输入电压,那么该电路的效率就会极其低下。对该电路尝试进行变形,将R1更换为三极管,也就是现在的LDO模型,如下;

Volt Div2.png

通过变型,那么原来在R1上的损耗转移到三极管Q1上面去了,由于Q1承受输入和输出的压差,所以该电路的效率也比较低下。

为了提升效率,之前三极管是工作在线性状态,是否可以更改为开关状态呢?这样三极管就只有开关损耗和导通损耗,那么损耗就会大大的降低。可以更改为如下电路:

Volt Div3.png

该电路工作周期时间为Ts,导通时间为Ton,那么占空比就是D=Ton/Ts,但是这样输出电压与开关状态高度关联,S1导通时有输出电压,S1关断时没有输出电压,但是输出负载总是需要连续的能量供给,这对于输出端负载是不可接受的。这就需要进行解耦,在变换器一定位置引入储能元器件电容,这样在即使在输入端S1断开的情况下,输出端电容也可以进行持续的能量输出,保证输出电压的稳定。

Volt Div4.png

如果这样做,大家有没有看出会带来什么样的效果?由于电容两端的电压不能突变,当S1闭合的时候,那么会在线路中产生一个非常大的冲击电流,它不仅导致噪声和EMI问题,这个时候S1可能会被损坏。所以需要对其进行限流,如下:

Volt Div5.png

加入R2限流电阻后,在S1闭合瞬间就没有那么大的冲击电流了,但是由于R2是串联在主功率回路中,电阻就会消耗功率,这样,在开关上减小的功耗最终可能又消耗在所加的电阻上。因此,为了最大限度的提高效率,可以将R2变换为电抗元件,从原理上来说,电抗原件仅存储能量不消耗能量,大家知道,电感两端的电流不能突变,所以在开关S1闭合的时候,电感可以很好的抑制冲击电流而不消耗能量。如下:

Volt Div6.png


这样解决了S1闭合时由于C1的作用引起的浪涌冲击电流,但是当S1断开的时候呢?刚才有提到,电感两端的电流不能突变,当S1突然断开,就相当于电感的电流产生了突变,由于没有续流的回路,那么电感存储的能量就会以“拉弧”的方式消耗,这样就会产生一个非常大的电压尖峰。所以,为了给电感L1提供一个续流路径,需要增加一个续流二极管,如下:

Volt Div7.png

这样,当S1突然断开,L1的能量就会通过二极管进行续流,所以我们也叫续流二极管。当然,为了提升效率,可以将续流二极管更换为MOSFET,如下:

Volt Div8.png

这样一个同步Buck变换器就产生了。可以将电感在不同的位置放置变换为不同的拓扑结构,放在输入端就是Boost变换器,放在下面就是Buck-boost变换器。所以,基本的变换器其实就只有这三种,其他很多拓扑结构都是这三种基本变换器的演变。

比如正激就是Buck的隔离版本,反激就是Buck-boost的隔离版本。



westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:21:26
 
Buck变换器工作原理仿真及分析(基于Saber)


Buck变换器根据电感电流在一个周期内是否为零,可以分为连续模式和不连续模式:处于稳态工作的Buck变换器,如果电感电流在整个周期内都是连续的,则为连续工作模式(CCM, Continue Current Mode);如果电感电流在周期内某一段时间为零,则为不连续工作模式(DCM,Discontinue Current Mode),处于连续模式和不连续模式之间为临界模式(BCM),此时,电感电流在下一个导通瞬间刚好下降到零。


下面进行CCM模式的Buck变换器稳态分析
Ton.png

Q1导通

当开关管Q1导通时,电感电路线性上升,给输出电容C1充电的同时也给负载进行供电,电感两端的电压为Vin-Vout,

IL.png

电感电流线性上升:

IL2.png

ΔiL为电感纹波电流,一般在CCM模式下,取电感纹波系数r=0.4;

Toff.png

Q1截止

当开关管Q1截止时,由于电感电流不能突变,续流二极管D1导通,电感续流,电感电流线性下降,此时由C1进行输出负载供电。

忽略二极管导通压降有:

Vo.png

对于稳态工作的Buck变换器而言,导通时电感电流的增加必须与截止时电感电流的减少相等,才能保证电感的磁复位。试想一下,如果不相等,会有什么样的后果?

通过一个开关周期内,电感两端的伏秒平衡原理,可以得出输入与输出的关系:

Volt-second.png

Vout = D*Vin

由于假设电感、电流为理想元件,一个周期内不消耗能量,因此,输出负载电流也就等于电感电流一个周期内的平均值,即Io=IL(avg).

换句话说,Buck变换器电感电流的平均值等于负载电流的平均值,这个结论,不管是CCM,DCM还是BCM都成立。
CCM.png
CCM态

DCM模式下的工作状态
对于连续模式工作的Buck变换,如果负载电流持续降低,将会出现在一个周期内的某一时段电感电流为零的情况。这种工作模式就是非连续(DCM)的Buck变换工作模式。在每个周期中,DCM的Buck变换有三种工作状态。
DCM.png
DCM态
ON态:Q1导通,二极管D1截止,电感电流线性增加:
DCM1.png
对于DCM模式,上式为电感电流的幅值,也为电感电流的峰值。

OFF态:Q1关闭,D1导通,电感电流线性下降:
DCM2.png
IDLE态:Q1截止,D1截止,电感电流理论上为零(实际电路中会由于电感电容谐振而存在谐振电流)。
所以,在一个周期内,根据电感两端的伏秒平衡关系,得出:
DCM3.png
由于是DCM模式,所以Ton+Toff是小于1的。

CCM/DCM边界的确定
BCM.png
BCM边界

从图中可知:
BCM1.png
利用上面边界条件,可以推出Buck变换器的DCM条件:
BCM2.png


恒频同步Buck变换器

一般用肖特基二极管作为续流二极管,但是在低压大电流的时候,由于肖特基二极管也有差不多0.3V左右的导通压降,会对效率影响比较明显,这个时候就提出了同步Buck变换器,将续流二极管更换为Si的MOSFET。如下:

Volt Div8.png

同步Buck变换器

Q1和Q2采用互补的方式,中间留有一点的死区时间,防止发生直通。

需要注意的是,由于Q2的体二极管正向导通压降大,反向恢复特性比较差,也会影响到效率。所以,会在Q2的体二极管外部并联一个肖特基二极管,避免在死区时间内走Q2的体二极管而带来额外的损耗。为了确保肖特基二极管在死区时间下能走电流,必须保证用很粗且很短的引线连接外部肖特基二极管和MOSFET的DS极。否则,引线电感会非常高,足以使电流无法按照预期从体二极管转移至肖特基二极管。最好的解决方案是把肖特基二极管集成到MOSFET里面去,最好在同一个内芯上,尽可能减小寄生电感。

采用恒频同步Buck变换器在CCM模式下(重载)与二极管续流的模式是一样的,如果负载进入到轻载,将不会出现DCM模式,此时电感电流会过零反相,原因是Q1关断,Q2导通,当电感电流线性减小到零后,由于Q2继续导通,此时在电感两端的电压仍然存在,会导致电感电流反相增加,直到Q2关断,Q1导通,电感电流会反相增加到零然后变为正;

Sync_CCM.png

同步Buck轻载模式下电流波形

同步模式的主要优点是什么呢?

恒频,空闲期没有震荡(因此电磁干扰可以预见),栅极驱动电路更简单,占空比恒定(即使在轻载时),电压应力计算方程更简单。二极管仿真模式(也就是同步MOSFET仿真二极管模式,有DCM)的主要优点时开关损耗小(没有导通时的交叉损耗,因为在交叉时间内瞬时电流为零),一般更加稳定(单极点开环增益,没有低频右半平面零点,也没有次谐波不稳定),但这种模式环路响应慢。


westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:37:58
 
Buck变换器硬件电路设计

尚未进行....
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:22:12
 
Buck变换器的参数计算

尚未进行....
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:29:41
 
Buck变换器的功率级小信号分析

关于buck变换器的详细过程请参考Networkpower撰写的文章https://bbs.21dianyuan.com/thread-22140-1-1.html,在本帖中,由很多大神参与讨论,希望大家能学到环路控制学习的精髓。
Buck变换器从功率级小信号幅值特性可以看出,是一个典型的二阶低通滤波器,穿越频率为6.199kHz,但是低频DC增益太小,对稳态误差抑制效果不够好。所以需要进行补偿,将低频DC增益提高。
功率级传函幅值特性.png
Buck变换器的相位特性如下,可以看到,在穿越频率处相位裕度只有19.891度(如果考虑信号回路的延时,可能就没有裕度了),不满足大于45度的标准,由于幅值在穿越0dB的时候是-2的斜率,所以相位损失比较大,需要对其进行补偿,在幅值穿越0dB的时候使其斜率变为-1.
功率级传函相位特性.png

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-6 09:26:00
 
针对Buck变换器S域补偿设计请参考11楼。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:30:17
 
Buck变换器环路分析与设计
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:33:14
 
环路设计知识要点分享

在所有的拓扑结构中,很容易获得各拓扑结构的输入/输出关系。只要已知了要求的输入和输出电压,剩下的事情就是计算PWM 占空比了。在非常理想的情况下,这就足够了。然而,在实际情况中,事情千变万化。输入电压会变,负载会变(比如说,接通/ 断开输出负载),元件具有容差、使用寿命和温度漂移,当然总是存在噪声。因此,系统性能可能会与所期望的有所不同。在意外情况下,为了使系统行为仍处于控制范围之内,必须加一个“控制环”(硬件和/ 或固件)来控制输出电压。当任何环境条件变化时,控制环可使设计电路输出电压的变化尽可能小。而且,在某些情况下,控制环可用于防止危险操作情形的发生。电流控制环能够阻止磁通进入变压器。
环路的设计与主电路的拓扑和参数有着密切的关系。为了进行稳定性分析,必须建立开关电源完整的小信号数学模型。在频域模型下,波特图提供了一种简单方便的工程分析方法,可用来进行环路增益的计算和稳定性分析。
用解析的办法建模只能近似建立其在稳态时的小信号扰动模型,而用该模型来解释大范围的扰动(例如启动过程和负载剧烈变化过程)并不准确,必须配合软启动电路、限流电路、箝位电路和其他辅助电路,才能使开关电源的性能满足要求。
下图是一个常见的控制换,其中G(s)和H(s)是两个模块的传递函数(脉冲响应的拉普拉斯变换)。x(t)表示系统的输入信号;y(t)表示系统输出,它还通过H(s)模块反馈到输入,将H(s)模块的输出r(t)从输入x(t)中减去后,得到误差信号e(t).

闭环.png

通过计算可以得出输入/输出关系,这个关系被称为闭环增益(Gcl(s))

闭环增益.png

G(s)和H(s)两项的乘积,称之为开环增益T(s)=G(s)H(s)

在控制理论中的关键是仅通过观察开环增益(T(s))的行为就能确定闭环系统是否稳定以及其稳定性如何。在闭环公式中,分母必须不能等于零,否则,Gcl(s)将变为无穷大,系统不稳定。1+G(s)H(s)≠0,|G(s)H(s)|相位必须≠180°,其中|G(s)H(s)|=1

认为T(s)=G(s)H(s)=1的频率点是fco交越频率。在此频率处的相位必须不等于180°。出于安全考虑,要求相位大约为130°-140°,或者相应的相位裕度=(180°-fco处的相位)≥45°

如图是一个典型的补偿后的环路频率特性曲线,穿越频率是6.5kHz,相位为90度。如果在6.5kHz频率处计算从-180度到相频曲线之间的相位差,那么可以得到90度相位裕度。这是一个鲁棒性非常好的系统,称为“无条件稳定”(当然还有条件稳定,下面解释):即使穿越频率点附近存在适度的环路增益变化,也不太可能移动到相位裕度太小的频率上。

相位余量与Q的关系2.png

通过一些简化,可得到如下的稳定标准:在fco处,T(s)的斜率必须等于-20dB/十倍频以及在fco处的相位裕度必须至少为45°。这些只是稳定的充分条件,但由于其简洁性,所以得到广泛的应用。

通过观察传递函数T(s),在电源中,传递函数通常用如下形式来处理:

传递函数.png

分子的每项都是零点,分母的每项都是极点。在通常情况下,和电源单元中的一样,每个零点是开环增益相位增加+Π/2,而每个极点使其增加-Π/2。从开环增益的角度来看,每个零点引起增益斜率本身+20dB/十倍频的变化,而每个极点引起-20dB/十倍频的变化。因此,在s左半平面的零极点是一个相互抵消的作用。


只要在开环增益为1时(0dB)整个环路的相移小于360度,环路就是稳定的。但如果相移接近360度(条件稳定),会产生两个问题:

1.相移可能会因为温度,负载以及分布参数的变化而达到360度而产生震荡;

2.接近360度,电源的阶跃响应(瞬时加减载)表现为强烈震荡,使输出达到稳定的时间加长,超调量增加。环路要留一定的相位余量,如图Q=1时输出是表现最好的,相位余量的最佳值为52度左右,工程上一般在全工作范围内取45度以上。

相位余量与Q的关系.png 相位余量与Q的关系1.png



westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-1 20:43:15
 
关于环路基础知识,大家可以参考荨麻草版主的文章《环路控制学习总结》https://bbs.21dianyuan.com/thread-160366-1-1.html;其中在帖子中的39楼就有人提出要理论和实际相结合,应用到工程当中去。当然,版主也回答后面会进行,可能由于时间关系,没看到版主发表相应的帖子发表,我这个帖子就相当于是把版主未完成的工作也做了吧。
也可以参考这篇文章https://bbs.21dianyuan.com/thread-298860-1-1.html,里面的参考书籍有中文版本,书名《开关电源控制环路设计》,大家可以去京东或者当当搜索购买,个人觉得对环路控制方面讲解的非常好,值得购买。

由于Buck变换器是一种典型的低通二阶滤波器,所以就以二阶模型进行分析。
典型的二阶LC低通滤波器的原理图为:
LC1.png

LC二阶电路图

其标准传递函数为:

LC二阶传函.png

我们首先来看这个传递函数的根以及对系统动态的影响;

根.png

在这个根的表达式中,品质因数Q是关键因素,并分以下三种情况:

(1)Q<0.5:平方根下的表达式为正,系统具有两个独立实数根;

(2)Q=0.5:平方根下的表达式为0,系统具有两个重合的实数根;

(3)Q<0.5:平方根下的表达式为负,系统具有一对带实数部分的共轭复数根;

Q值的变化是如何影响系统响应的?如下是系统在不同Q值条件下的阶跃响应。

根的影响.png

分析了Q的影响对时域的影响,现在看看根在s平面对系统的响应如何。

S域.png

所以系统的根只能在S域的左半平面LHP,虽然呈现不同的阻尼,但起码系统还能够稳定;如果根出现在虚轴或者右半平面零点,那么系统不稳定或者不收敛。在系统设计中一定要保证传递函数的根在s域的左半平面。

Mathcad - RLC电路分析.pdf (171.91 KB, 下载次数: 114)
ICD3
  • ICD3
  • 离线
  • LV6
  • 高级工程师
  • 积分:1434
  • |
  • 主题:13
  • |
  • 帖子:103
积分:1434
LV6
高级工程师
  • 2022-2-2 09:53:12
 
(1)Q<0.5:平方根下的表达式为正,系统具有两个独立实数根;

(2)Q=0.5:平方根下的表达式为0,系统具有两个重合的实数根;

(3)Q<0.5:平方根下的表达式为负,系统具有一对带实数部分的共轭复数根;

感觉以上的文字描述好像1和3错了

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:33:46
 
各种补偿器的设计以及Bode图


零点的电路形式如下,它就是一个低通滤波器;
单极点.png

低通滤波器

单零点的补偿电路实现方式以及Bode图如下:

单零点补偿.png

为了实现开关电源的宽带宽,通常在积分环节之后,总是要加几个左半平面的单零点环节来提升系统环增益的相位,保证系统的稳定性。通常这种左半平面零点在开关电源的补偿电路中是不可缺少的。它的加入能提升开关电源这种系统的相位裕量,但会降低对高频扰动的抑制能力,因此在补偿电路中,还要加上相同数量的左半平面单极点来增强系统对高频扰动的抑制能力。

左半平面的单零点环节除了会在开关电源的补偿电路中出现以外,在开关电源的功率级传递函数中也会出现。例如DCDC功率变换器中因输出滤波电容ESR所等效的左半平面单零点。这个功率级输出滤波电容所引入的单零点位置,从小信号稳定性考虑,宜低一点,也即ESR应大一点;但从开关纹波考虑,则宜高一点,也即ESR应小一点。所以功率级中的输出滤波电容选取应根据稳态和动态要求进行折中。


单极点补偿电路实现方式以及Bode图如下:

单极点补偿.png

其实我们可以把它看成一个等效的一阶低通滤波器,该一阶低通滤波器的转折频率即为其极点频率。

为了实现开关电源的宽带宽,通常在积分环节之后,先要加几个左半平面单零点环节来提升系统环增益的相位,然后再加个左半平面单极点来提高系统康高频扰动的能力。所以这种左半平面单极点在开关电源的补偿电路中是不可缺少的。它的加入能大大提高开关电源这种系统的抗干扰能力。但左半平面的单极点环节并不是越多越好,在采用光耦隔离的开关电源中,由于光耦的延迟效应,在光耦电路中往往也会引入一个等效的左半平面极点,这个极点的位置与所用的光耦有关,正是由于光耦的这种特性,使得采用光耦隔离的开关电源,所能实现的带宽比非隔离开关电源所能实现的带宽要低很多。


双极点的电路形式如下:

双极点.png

双极点环节一般只出现在开关电源的功率级小信号传递函数中,从物理意义上,可以把它理解为一个二阶低通滤波环节,由于功率变换器要实现输出电压的低开关纹波,所以这个环节的双极点频率要低很多,它由功率变换器的开关频率、输出滤波电感、输出滤波电容及其ESR决定。正式因为多数功率变换器在CCM下都有这个双极点环节,所以在用电压型控制的开关电源中,为了能实现稳态的无静态误差,必须在补偿方案中先用一个积分环节(引入零频率极点,提高低频增益),加上积分环节后,系统环增益的最大相位就可能滞后-270度,为了实现足够的带宽,就要再在补偿器的合适频段中,加上两个左半平面的单零点,来提升开环增益的相位,单所加的零点会带来电源抗干扰能力的降低,因此还得在零点之后再加一个左半平面的单极点来保证开环增益对高频扰动所需要的抑制能力。由此可以看出,对于一个具有二阶双极点的功率变换器,在采用电压型控制模式时,它的补偿器可以选择为具有下列传递函数的结构来实现。

补偿传函.png

单零点双极点补偿电路实现以及Bode图如下:

单零双极补偿器.png

单零点双极点一般适用于功率部分只有一个极点补偿。如:所有电流型控制和非连续方式电压型控制。零点越低,相位提升越明显,但低频增益也越低;极点的选取一般时用来抵消ESR零点或RHZ零点引起的增益升高,保证增益裕度。


双零点三极点补偿电路实现以及Bode图:

双零点三极点补偿器.png

适用于输出带LC谐振的拓扑,如所有没有用电流型控制的电感电流连续方式拓扑。由于输出有LC谐振,在谐振点相位变化很剧烈,会很快接近180度,所有需要3型补偿放大器来提升相位。

在原点有一极点来提升低频增益,在双极点处放置两个零点,这样在谐振点的相位为-90+(-90)+45+45=-90,在输出电容的ESR处放一极点,来抵消ESR的影响,在RHZ处放一极点来抵消RHZ引起的高频增益上升。

如果相位裕度不够时,可适当把两个零点位置提前,也可以把第一个极点位置放后一点。

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:34:24
 
Buck变换器---补偿器S域设计

针对Buck变换器所等效的二阶LC低通滤波器的传函,我们采用Type 3型补偿器来进行补偿。具体补偿的原则是:系统需要在低频段存在一定的开环增益,才能对这些频率段的扰动产生抑制作用。但为了保证系统的稳定性,还需要限制带宽,使得频率增加时减小开环增益。此外,为了获得足够的相位裕度,需要减小穿越频率处的相位滞后,通常设计环路增益在穿越频率处具有-1的斜率,也就是系统在穿越频率fc前后具有单极点响应特性。但同时,当相位滞后达到180度时,环路增益必须足够低以保证良好的增益裕度。因此,为了加快系统在穿越频率fc后的增益衰减以确保足够的增益裕度,通常在穿越频率点后设置系统的第二极点,该极点一般在1/2开关频率处。
这样,可以看到双极点的配置,它包括一个0dB的穿越极点w0和一个高频极点w2,可以近似表达为:
T(s)近似.png
穿越频率附近可以近似为二阶系统的带补偿变换器的典型开环响应如下:
T(s)近似开环响应.png
我们先来看整个电压控制的电路图

VMC.png
等效控制框图

VMC框图.png

现在我们已经知道控制d到输出Vo的传递函数了,那么同时就要把Hv(s),Fm和ZOH的传递函数找出来,开环传递函数T(s)= Hv(s)*Gvc(s)*Fm*ZOH.后面我将通过DCDT来进行设计。
另外需要说明的是,在进行S域补偿设计时,一定要考虑延时的影响。延时主要由硬件延时、采样延时、软件计算延时等组成,虽然延时对开环特性的增益不会产生影响,但是对相位有比较大的影响。下面的计算我也考虑了延时时间,但是硬件还没有完全确定下来,所以还没有增加进去,后续会补充。
关于S域的补偿设计,请参考附件 Buck传递函数V1.0.pdf (258.03 KB, 下载次数: 117)
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:35:20
 
S域到Z域的变换设计

数字控制相对模拟控制的优点主要是易于采用先进的控制方法和智能控制策略,提高 电源模块的性能;控制灵活,系统升级方便,甚至可以在线修改控制算法(比如Micrcochip dspic33c独有的Live Updata功能,可以不掉电升级),而不必更改硬件电路。系统可靠性高,易于标准化,维护方便;系统的一致性较好,体积小,成本低,生产制造方便。

模拟和数字控制环的主要区别之一是,前者所有的值在时间和幅值上都是连续的,而后者的值在时间和幅值都是离散的,时间是离散的是因为信号采样以固定的周期重复进行。幅值是离散的是因为ADC将输入值映射为一组有限的输出可能值。
但是数字化PWM开关电源都固有地存在一个开关周期的失控时间,例如当系统在某一个开关周期内发生变化时,这个开关周期的脉冲宽度已经确定,因而只能在下一个开关周期进行调整,不过dspic33c有立即更新的功能,即立即在当前周期进行改变,尽量减少环路延迟时间。
在控制环的数字实现中,必须考虑一些延迟:模数转换的采样/转换时间,环路补偿器计算时间,功率元件响应的某种非零延迟,低通滤波器延迟。可以计算出所有这些延迟的总时间,这个时间就是采样频率的边界条件,因为如果系统在比该时间的倒数更高的频率下工作,采样将没有任何意义。换言之,这是在环内传输系统的任何变化所需要的时间。
延迟时间的倒数决定系统可使用的最大采样频率。Nyquist 采样定理证明,为了能够重现初始信号,采样频率必须至少是采样信号最大频率的两倍。值2 实际上仅是理论值;在实际应用中,必须更大些。典型值是6到10。相应地,能够正确操作的最大信号频率是采样频率的六分之一到十分之一。
最好能使数字环的工作速度尽可能的快,以达到最小环内延迟,从而得到最大可能的采样频率。关键是,如果采样频率高,那么信号最大频率也就高;这意味着控制环可以在系统环境条件下很容易地响应高频变化。

对于数字PWM外设,有两个不同的分辨率:频率的分辨率和占空比的分辨率。频率的分辨率取决于PWM外设所选的时钟源,比如dspic33c默认是500MHz主频,那么PWM分辨率就是2ns,如果使能高精度,那么是8倍默认频率的关系,在高精度模式下分辨率可以做到250ps。占空比分辨率有点类似于PWM分辨率,也就是占空比控制的精细程度。PWM分辨率至少应该比ADC的分辨率高一位;否则,输出值将介于两个ADC值之间,因而系统将不断试图达到稳定状态,从而在这两个值之间振荡。
数字控制的主程序一般由两部分组成:1、一组初始化程序,其中对所有用到的外设寄存器进行设置(可以采用MCC完成);2、主循环。中断服务程序(ISR)将定期中断主循环,以便低优先级任务能在其他时间在此循环内执行。例如,用于均流、用户接口管理以及与外部单元通信。
中断服务程序是数字控制程序真正的核心。基本操作按如下执行:1.从ADC硬件收集数据。2.计算当前读到的系统电压值(VFB)与参考电压值的差。3.执行PID控制,其输出就是占空比。4.把计算值固定在最小值和最大值之间。5.用当前产生的(新)占空比更新占空比。
由于中断时间资源的限制,有时无法在每个PWM周期更新占空比。占空比更新频率决定最大控制环的带宽,是响应输入(线性稳定度)或输出(负载稳定度)快速变化的系统功能。例如,如果PWM频率为200kHz,每隔一个周期采样电压/电流并更新占空比,变得到100kHz的更新速率,即系统的两次连续干预的时间间隔为10us。

S域与Z域的映射关于S域和Z域之间的相互关系,请参考 s平面和z平面之间的映射.ppt (1.28 MB, 下载次数: 124)
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:35:52
 
DSP 补偿器3P3Z实现方式

一般数字控制器设计方法采用直接数字法:s域的被控对象首先被转化到z域,控制器设计直接在z域中进行。当然,也有另外一种数字再设计方法,控制器在s域中设计,然后再进行离散化。在数字再设计法中,当采样频率足够高时,由数字控制的采样保持过程导致的时间延迟可以做一个近似表达。在时间延迟环节被线性化以后,就可以根据系统的性能指标在s域中采用Bode图之类的标准设计方法来设计模拟控制器,一旦模拟控制器被设计出来以后,就能够被离散化。
离散化方法建议采用双线性变化法。 s域.PNG
双线性变换法把s域的整个左半平面映射为z平面的单位圆,因而不会影响离散化后系统的稳定性,除此之外,双线性变化发最吸引人的特征是在低于1/10采样频率时同时保留了控制器幅值和相位的频率特性。与被控对象校正后系统开环传递函数的幅值穿越频率相比,当采样频率足够高时,可以采用数字再设计法。
现在以一个s域的补偿器设计为例,流程如下:
1)首先选择一个合适的已知原型滤波器传递函数(要选择合适的零极点);
2)将改原型滤波器的s域传递函数映射到z域中;
3)将z域转换为时域内的线性差分方程;

以一个3p3z的数字控制器设计为例,具体实现步骤参考附件 3p3z数字控制器设计.pdf (1.04 MB, 下载次数: 194)
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-6 18:36:37
 
Kp法获取主功率Bode图
关于更多细节,可以去观看:https://www.bilibili.com/video/B ... id_from=333.999.0.0
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:31:01
 
dsPIC33C系列开发环境介绍

dsPIC33C系列开发环境主要是基于Mricochip  MPLAB X IDE进行,编译器是XC16,开发工具最新的是 ICD4或者PICkit4,关于开发环境的下载、安装请看附件。       MPLAB开发环境安装及使用.pdf (468.72 KB, 下载次数: 74)
stopower
  • 积分:1285
  • |
  • 主题:6
  • |
  • 帖子:132
积分:1285
LV6
高级工程师
  • 2020-7-3 22:08:40
 
不错,学习了!顶一个。
mike2020
  • 积分:219
  • |
  • 主题:0
  • |
  • 帖子:9
积分:219
LV3
助理工程师
  • 2021-4-16 13:00:21
 
来学习了


紫陌纤尘
  • 积分:276
  • |
  • 主题:0
  • |
  • 帖子:24
积分:276
LV3
助理工程师
  • 2024-3-20 15:51:39
  • 倒数2
 
感谢分享,学习了
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:32:07
 
dsPIC33C外设介绍以及使用MCC进行底层配置
dsPIC33C的主频是100MIPS,CK系列最大的Flash为256KB,RAM为24KB。那么有人问了,为啥RAM这么小?不同于TI C2000系列代码主要是将Flash code copy到RAM内执行,dsPIC33C系列代码主要在Flash内执行,故不需要那么大的RAM。
dspic33c系列大致资源如下:
外设资源.png

大家都知道,做开关电源其中最主要的两个外设就是PWM和ADC采样了。dspic33c系列PWM高达8对(16路),每路的分辨率达到了250ps,其中移相、占空比、周期、死区均支持高分辨率下的工作。
PWM模块支持多种PWM模式,适用于电机控制和电源设计,主要支持以下PWM模式:
1.独立边沿PWM模式
独立边沿模式.png
独立边沿PWM 模式适用于许多应用,可用于生成边沿对齐的PWM 信号,以及具有任意相位偏移的PWM 信号。此模式为PWM 发生器的默认工作模式,在MODSEL[2:0](PGxCONL[2:0]) = 000 时选择此模式。必须写入两个数据寄存器来定义上升沿和下降沿。PWM 信号的特性由以下三个SFR 决定:
• PGxPHASE:确定定时器计数周期开始后的PWM 信号上升沿位置
• PGxDC:确定定时器计数周期开始后的PWM 信号下降沿位置
• PGxPER:确定PWM 定时器计数周期的结束位置

2.可变相位PWM模式
可变相位模式.png
可变相位PWM 模式与独立边沿模式的不同之处在于,一个寄存器用于选择周期开始后的相位偏移,另一个寄存器用于选择脉冲宽度。可变相位PWM 模式非常有用,因为PGxDC 寄存器被编程为常量值,而PGxPHASE 值则被调制。PWM 逻辑将自动计算上升沿和下降沿时间,以保持恒定的脉冲宽度。类似地,用户可将PGxPHASE 寄存器编程为常量值,以生成具有恒定相位偏移和可变占空比的信号。MODSEL[2:0](PGxCONL[2:0])= 001 时选择可变相位PWM
模式。PWM 信号的特性由以下三个SFR 决定:
• PGxPHASE:确定定时器周期开始后的PWM 信号上升沿偏移
• PGxDC:确定PWM 脉冲的宽度和PWM 信号下降沿的位置
• PGxPER:确定PWM 定时器计数周期的结束位置

3.独立边沿PWM模式,双输出
双PWM模式.png
在双PWM 模式下,单个PWM 发生器可在PWMxH 和PWMxL 输出引脚上产生两个独立的脉冲宽度。此模式与独立边沿模式基本相同,惟一的区别是它允许在使用独立输出模式的条件下产生另一个PWM 脉冲。MODSEL[2:0](PGxCONL[2:0])= 010 时选择双PWM 模式。PGxTRIGA 和PGxTRIGB 寄存器用作另一组PGxPHASE 和PGxDC寄存器,以便控制另一个占空比发生器。

4.中心对齐PWM模式
中心对称模式.png
PWM 脉冲在接近第一个定时器周期结束和第二个周期开始时的位置保持对称。如果PWM 信号的占空比增大,则上升沿和下降沿的位置将改变以保持这种对称性。MODSEL[2:0](PGxCONL[2:0])= 100 时选择中心对齐PWM 模式。中心对齐PWM 工作模式使用两个定时器周期来产生单个脉冲。PWM 信号的特性由以下两个SFR 定义:
• PGxDC:基于两个定时器周期的中心确定PWM 脉冲的宽度
• PGxPER:确定PWM 定时器计数周期的结束位置
在PWM 发生器定时器 = PGxDC 时出现下降沿,在PG 定时器 = PGxPER - PGxDC + 1 时出现上升沿。在上升沿计算中加上偏移量1,可在两个定时器计数周期之间产生对称性。例如,PGxDC 值为1 时,会产生一个持续时间为两个周期的脉冲。

5.双重更新中心对称PWM模式
双重更新中心对齐模式.png
双重更新中心对齐PWM 模式的工作原理与中心对齐PWM 模式基本相同,惟一的区别是每个PWM 周期会产生两次中断和两次数据缓冲区更新。如果用户想要缩短控制环响应的延时,则该模式非常有用。请注意,这样会消除中心对齐PWM 模式脉冲的对称性,因为脉冲的上升沿和下降沿可以独立控制。MODSEL[2:0](PGxCONL[2:0])= 101 时选择双重更新中心对齐PWM 模式。

6双边沿中心对齐PWM模式
双边沿中心对齐模式.png 双边沿中心对齐模式2.png
双边沿中心对齐PWM 模式的工作原理与双重更新中心对齐PWM 模式基本相同,惟一的区别是允许通过不同的数据寄存器控制上升沿时间和下降沿时间。在该模式下,用户能以最大灵活性调整中心对齐脉冲,同时降低中断事件的频率。请注意,除非PGxPHASE = PGxDC,否则这样会消除中心对齐PWM 脉冲的对称性。
• PGxPHASE:基于两个定时器周期的中心确定上升沿时间脉冲
• PGxDC:基于两个定时器周期的中心确定下降沿时间脉冲
在双边沿中心对齐PWM 模式下,单次数据缓冲区更新模式和双重数据缓冲区更新模式均可用。

三种输出模式,每个PWM发生器都可以变成为三种输出模式之一,以控制PWMxH和PWMxL引脚的行为。输出模式选择与PWM模式无关。包括:

1.互补输出模式

互补输出模式.png

2.独立输出模式

在独立输出模式下,PWM发生器的输出同时连接到PWMxH和PWMxL引脚。在大多数应用场合下,PWMxH和PWMxL引脚只有一个使能。另一个引脚可供GPIO或其他外设功能使用。如果选择双PWM模式,PWM发生器将在PWMxH和PWMxL上产生独立的脉冲宽度。在独立输出模式下下,不使用死区开关延时。PWMxH和PWMxL引脚的状态不受限制;它们可通过外部硬件信号或软件改写的方式进行控制。

3推挽输出模式

推挽输出模式.png

关于更多的PWM外设的详细功能,请参考附件。 支持精细边沿定位的HRPWM.pdf (1.9 MB, 下载次数: 82)
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-4 09:18:33
 
dsPIC33C系列具有一个高速12 位模数转换器(Analog-to-Digital Converter,ADC),该模数转换器具有低转换延时、高分辨率和过采样能力,可提高在交流/直流和直流/直流电源转换器应用中的性能。器件实现了带三个SAR内核(两个专用内核和一个共用内核)的ADC。
高速12位多SAR模数转换器(ADC)包括以下特性:
• 三个ADC内核:两个专用内核和一个共用内核
• 对于每个内核,用户可配置的分辨率最高可达12位
• 12位分辨率时每个通道的转换速率最高为3.5 Msps
• 低延时转换
• 最多24个模拟输入通道,每个输入通道具有独立的16位转换结果寄存器
• 对于所有通道中的每个通道,转换结果可以采用无符号或有符号数据格式
• 可同时采样最多3个模拟输入
• 通道扫描功能
• 每个内核支持多个转换触发选项,包括:
- 来自CPU内核的PWM触发信号
- MCCP/SCCP模块触发信号
- CLC模块触发信号
- 外部引脚触发事件(ADTRG31)
- 软件触发信号
• 四个具有专用中断的集成数字比较器:
- 多个比较选项
- 可分配给特定的模拟输入
• 四个具有专用中断的过采样滤波器:
- 提供更高的分辨率
- 可分配给特定的模拟输入

ADC模块框图
ADC.png
采用12位高速多SAR ADC的模数转换过程涉及以下三个步骤:
1. 采样输入信号。
2. 捕捉输入信号(保持)并将其传送到转换器。
3. 将模拟信号转换为对应的数字形式。
输入信号采样涉及为采样保持(S/H)电路中的电容充电。采样时间必须足够长,才能使电容充电到与输入电压相等的值。在适当的时间,输入将与电容断开,接着模拟电压将传送到转换器。随后,转换器会对模拟信号进行数字化并提供相应结果。转换器需要时钟源参考电压。时钟和参考电压源以及时钟预分频比均是可选的。

ADC时钟
每个SAR ADC内核的最大工作时钟频率限制为70MHz。因此,所选的时钟设置必须能够提供大于14.3ns的内核时钟周期Tadcore。如下是ADC时钟路径图:
ADC时钟路径图.png
SAR ADC有三个内核,其中两个专用内核和一个共享内核,也就是说有三个采保,可以同时采三路信号,保证关键信号的最小延迟时间。专用内核可以用来采参与环路控制,对时间要求比较高的信号,比如电流/AC 输入电压等;共享内核可以采对时间要求不高的信号,比如温度采样/输出电压等。专用内核与共享内核的采样方式有点不太相同。
使用多个专用SAR ADC内核时,可同时捕捉多个模拟信号。每个专用内核在采样模式下连续跟踪输入信号,直至发生异步触发事件。触发事件将导致专用内核立即停止采样,进入保持状态。
专用SAR ADC内核采样.png
专用SAR ADC内核采样选项是延迟转换,在触发时间与转换启动之间插入延时。在此期间,内核继续采样输入信号,具体的延迟时间由相关的寄存器来决定。
延迟转换时的专用SAR ADC内核采样.png
与专用ADC内核不同,共用ADC内核的触发事件是使用ADCON2H寄存器中的SHRSAMC<9:0>位指定的采样时间来启动采样过程。信号被采样指定的ADC内核时钟数后,S/H立即进入保持状态,并且会发出转换请求。当定期触发共用ADC内核的单输入时,触发速率不得超过采样时间与转换时间之和。无法保证共用ADC内核的转换请求立即得到处理。共用内核的转换请求按优先级顺序进行处理。如果共用内核上由多个ADC输入请求转换,模拟将从编号最低输入开始按顺序进行转换。
共用SAR ADC内核采样.png
SAR ADC FRM如附件: 12位高速多SAR AD转换器(ADC).pdf (614.45 KB, 下载次数: 54)
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-5 11:15:24
 
带斜率补偿DAC的高速模拟比较器模块提供了一种方法来监视电源转换应用中的电压、电流和其他关键信号,这些信号可能因为太快而无法被CPU和ADC捕捉到。每个高速模拟比较器模块均包括高速比较器、脉冲密度调制(Pulse Density Modulation,PDM)DAC和斜率补偿单元。
比较器模块可用于实现峰值电流模式控制、临界导通模式和基于滞后控制的电源。带斜率补偿DAC的高速模拟比较器包含以下关键特性:
• 轨到轨模拟比较器
• 每个比较器最多具有5个可选输入源:
- 最多4个外部输入
- 最多2个来自PGA模块的内部输入
• 可编程比较器滞后
• 可编程输出极性
• 可产生中断
• 每个模拟比较器均配有专用的脉冲密度调制(PDM)数模转换器(Digital-to-Analog
Converter,DAC):
- 12位分辨率
• 多模式多极点RC输出滤波器:
- 切换模式:提供最快响应
- 快速模式:用于追踪DAC斜率
- 稳态模式:提供12位分辨率
• 专门支持以下模式:
- 斜率生成模式
- 滞后控制模式
- 三角波模式
• 高速PWM模块支持的功能包括:
- PWM占空比控制
- PWM周期控制
- PWM故障检测
斜率补偿单元提供了可用于改变DAC输出的用户自定义斜率。在峰值电流模式控制等需要通过斜率补偿维持电源稳定性的应用中,此功能非常有用。用户指定斜率补偿的方向和变化率,并相应地修改DAC的输出。DAC包含一个PDM单元,PDM单元后跟一个数字控制的多级RC滤波器。PDM单元使用相位累加器电路来生成输出脉冲流。相对于累加器位宽所支持的最大值,脉冲流的密度与输入数据值成比例。输出脉冲密度代表所需的输出电压。脉冲流经RC滤波器滤波后产生模拟电压。DAC的输出与比较器的反相输入连接。比较器的同相输入可以使用多路开关(MUX)从PGA的输入引脚或输出中选择。比较器提供高速操作,典型延时为15 ns。比较器的输出可通过脉冲延展器和数字滤波模块处理,从而防止比较器响应意外快速瞬变信号。
DAC.png
具体请参考 带斜率补偿DAC的高速模拟比较器.pdf (557.83 KB, 下载次数: 66)
leehyoung
  • 积分:498
  • |
  • 主题:13
  • |
  • 帖子:38
积分:498
LV6
高级工程师
  • 2020-12-24 15:41:55
 
向大师致敬
紫陌纤尘
  • 积分:276
  • |
  • 主题:0
  • |
  • 帖子:24
积分:276
LV3
助理工程师
最新回复
  • 2024-3-20 15:54:13
  • 倒数1
 
学习学习,非常详细,感谢
chaos2008
  • 积分:8288
  • |
  • 主题:61
  • |
  • 帖子:577
积分:8288
LV8
副总工程师
  • 2020-7-6 10:07:00
 
顶一个
紫陌纤尘
  • 积分:276
  • |
  • 主题:0
  • |
  • 帖子:24
积分:276
LV3
助理工程师
  • 2024-3-18 08:56:14
  • 倒数4
 
谢谢分享,学习了
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 08:36:22
 
电压控制模式/峰值电流控制模式/平均电流控制方法介绍

电压控制模式
如下图就是一个典型的电压控制模式,电压控制模式的开关周期为芯片的时钟周期,每来一个时钟信号,开关信号即开启或者说PWM信号会产生由低到高的变化,而一个周期内开关信号的关断即PWM信号由高到低电平,转换时刻则是由反馈产生的电压误差信号和锯齿波的比较决定。
VMC.png

电压控制模式的优点:

1.PWM三角波幅值较大,脉冲宽度调节时具有较好的抗噪性;
2.对于多路输出电源,它们之间的交叉调整率比较好;
3.单一反馈电压闭环设计,调试比较容易。

电压模式缺点:
1.当个输入电压突然变化或者负载阻抗突然变化时,因为主电路由较大的输出电容C以及电感L相移延时作用,输出电压的变化也延时滞后,同时输出电压变化的信息还要经过电压误差放大器的补偿电路延时滞后,才能传至PWM比较器调节脉宽。也就是说环路控制的变化必须经过L、C二阶极点系统获得设计输出(前面有介绍),输出响应不是很好。
2.电压控制模式环路控制中由于不涉及到输入电压的变化,也就是说输入电压并没有直接参与反馈环路的闭环控制,因此,线性调整率不好,输入电压突变时的响应较慢。(什么是线性调整率?它就是反映输入电压的变化导致输出电压的相对变化量)
3.由于电压控制模式不采样电流,逐个脉冲的限流保护功能必须另外增加电路来实现。

如何改善?
1.增加电压误差放大器的带宽,保证具有一定的高频增益。不过这样容易受高频开关噪声的影响,需要在主电路及反馈控制电路上采取措施进行抑制或同相位衰减平滑处理;带宽增加有利于提高电源的动态响应(前面有介绍),但是对于高频噪声的抑制会有影响,容易受到干扰。
2.采样电压前馈模式控制的PWM技术,改善线性调整率。
常用的电压控制芯片比如TL494

峰值电流控制模式
峰值电流控制模式的基本原理如图所示,误差电压信号Vc送至PWM比较器后,并不是像电压模式控制那样与振荡电路产生的固定三角波电压斜坡比较,而是与一个变化的其峰值代表电感电流峰值的三角波形(DCM或BCM)或梯形尖角(CCM)合成波形信号进行比较,然后得到PWM脉冲关断时刻。
PCMC.png
因此(峰值)电流模式控制不是用电压误差信号直接与锯齿波信号比较控制PWM脉冲宽度,而是直接控制峰值电感电流大小,然后间接地控制PWM脉冲宽度。当开关管导通时,电流上升,电流检测通过一个互感器或者电阻采样,形成一个内部的电流环,外部电压环用来调制电感电流,而内部电流环直接控制占空比。
同样,峰值电流控制模式的开通时刻仍然由时钟信号开启,即每一个时钟周期到来,PWM信号就会从低到高变化,是为每个周期PWM的开通时刻,而峰值电流控制模式的关断时刻是由检测的电流信号峰值与电压误差信号Vc进行比较,当检测到的峰值电流信号与电压误差信号相等时,关断PWM信号,由此决定了PWM的导通时间Ton。假如因某种原因,电感电流的峰值突然变大,则开关导通时间会缩短,占空比会减小,从而使得开关峰值电流减小。
那么峰值电流控制模式相对电压控制模式有什么优点呢?
1.电流控制模式由于直接采样电感电流,输入电压的变化会直接在电感电流上反映出来,因此,这种控制模式天然具有前馈补偿的功能,能够对输入电压变化和输出负载变化快速响应;
2.峰值电流模式控制PWM是双闭环控制系统,电压外环控制电流内环。电流内环是瞬时夸苏按照逐个脉冲工作的。功率级是由电流内环控制的电流源,而电压外环控制此功率级电流源。在该双环控制中,电流内环只负责输出电感的动态变化,因而电压外环仅需控制输出电容电压,不必控制LC储能电路。由于这些,峰值电流控制控制PWM具有比电压模式控制大得多的带宽。带宽越宽,相对来讲稳定性越好。
3.虽然电源的LC滤波电路为二阶电路,单增加了电流内环控制后,电感L的极点位于内部的电流环,输出电容的ESR及其变化仍然在外部的电压环,而不是像电压控制模式,L、C的双极点都在外部的电压环中。
4.峰值电流模式控制,只有当误差电压发生变化时,才会导致电感电流发生变化,即误差电压决定电感电流上升的程度,进而决定功率开关的占空比,因此,内环可看作是一个电流源,电感电流与负载电流之间有了一定的约束关系,使电感电流不再是独立变量,整个反馈电路变成了一阶电路,由于反馈信号电路与电压模式相比,减少了一阶,因此误差放大器的控制换补偿网络得以简化,稳定度得以提高并且改善了频率响应,具有更大的增益带宽积。在小信号分析时,这种电路可以忽略电感的存在。因此,在整流器的输出端,增益和相位是由并联的输出电容和负载电阻确定的。这样,电路最多只有90°相移和-20dB/dec而非-40dB/dec的增益衰减。
5.电流环时外部电压环的一部分,峰值电流闭环特性非常类似于一个跨导型放大器。电流环的闭环增益抑制呈现平特性直到交越频率。在交越频率处,增益曲线以-1的斜率下降,在外部电压环中增加了第二个极点,不过这个极点的频率远高于电容的极点。为了避开电流环的这个极点,外部电压环的带宽要小于内部电流环的极点频率,因此,内部电流环的带宽会高于外部电压环的带宽。
6.由于峰值电流控制模式cycle by cycle的控制峰值电流,因此具有简单自动磁通平衡功能;
7峰值电流控制模式具有瞬时峰值电流限流功能,即峰值电流模式具有内在固定的逐个脉冲限流功能,过功率保护;
峰值电流控制模式尽管相对于电压模式控制来讲有了很多的改善,但是也有它自身的一些不足:占空比>50%后环路不稳定(次谐波震荡),需要加斜率补偿进行改善。关于更多次谐波震荡的原理以及解决方法请查阅相关文献,这里不做详细解释。

平均电流控制模式
平均控制模式如图所示,输出电压采样经过误差放大器U1后形成Vcp,然后将Vcp作为误差放大器U2的同相端,与电流采样信号进行比较,然后经过误差放大器U2后形成电压Vca与锯齿波进行比较得到占空比。通俗一点讲就是电压采样经过补偿器进行补偿,将补偿器输出Vcp作为电流内环的给定,与电流采样进行比较,经过电流内环补偿器后与锯齿波比较得到占空比。
平均电流控制模式.png
平均模式相对峰值电流控制模式来讲,它有峰值电流模式的所有优点:相同的截止频率;对于电流负载变化,相同的瞬态响应。在低于截止频率时,峰值电流模式控制的环路增益为平特性,而对于平均电流模式控制来讲,它的增益是一直上升的,如果设计需要的话最终可以超过1000。这种低频时的高环路增益可以去除峰值对平均值的误差,而且能够保证轻载时电感电流进入不连续状态时好的环路稳定性能。

平均电流控制模式下电感电流能够高精确的跟踪电流信号,所以一般中大功率的PFC拓扑均采用这种控制方式。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-28 09:24:18
 
各种控制模式下的补偿器设计、理论分析与实测对比

电压控制模式
先获得主功率小信号传递函数Bode图,在simplis仿真软件绘制仿真原理图,并进行仿真,如下。得到穿越频率在8.59kHz,相位余量约60°;
sync_buck.png 主功率小信号Bode图.png
导出Bode图数据,保存后缀为.CSV VMC SR Buck Plant.xlsx (24.54 KB, 下载次数: 37)
现在开始利用DCDT工具进行环路补偿设计,关于DCDT工具如何使用,前面有介绍,这里不过多说明,所以就直接使用。将.CSV文件导入DCDT工具,得到如下主功率小信号Bode图,现在就可以根据主功率小信号Bode图进行设计了
Bode图.png

如何利用MCC快速的完成外设配置 如何利用MCC快速的完成外设配置V1.0.pdf (774.04 KB, 下载次数: 72)
bake_ql
  • 积分:7308
  • |
  • 主题:6
  • |
  • 帖子:837
积分:7308
LV8
副总工程师
  • 2020-6-28 21:44:06
 
万丈高楼平地起,期待ing
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-29 09:27:33
 
现在PCB Layout中,等板发出去,就开始理论分析。
zhengshijianqz
  • 积分:315
  • |
  • 主题:1
  • |
  • 帖子:17
积分:315
LV4
初级工程师
  • 2020-6-29 10:11:17
 
下次有PCB让我帮你画
ganlanshuyang
  • 积分:2448
  • |
  • 主题:66
  • |
  • 帖子:463
积分:2448
LV8
副总工程师
  • 2020-6-29 13:02:58
 
楼主,这个怎么看不到具体内容呢
admin
  • 积分:32467
  • |
  • 主题:2330
  • |
  • 帖子:8895
积分:32467
管理员
  • 2020-6-29 13:13:24
 
还在更新中 ,请多多关注
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-6-29 13:56:37
 
具体内容暂时还没更新,敬请关注。
brucepan2007
  • 积分:170
  • |
  • 主题:0
  • |
  • 帖子:6
积分:170
LV2
本网技师
  • 2020-7-21 17:25:04
 
请问楼主这个数字电源讲完了吗?后续还有没有啊
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-21 18:17:46
 
还没呢,内容在慢慢的填,只是先把楼给占了。
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-8-27 18:33:57
 
请问有没有使用PID库的demo程序?

另外,这两个project(Sync_buck_VMC.X.zip, Sync_buck_VMCV2.0.X.zip)编译的时候出错,提示:dsPIC33CK-MP_DFP 1.4.102 is used in the configuration and it is not installed

请问这个dsPIC33CK-MP_DFP要在哪里下载?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-8-28 16:50:24
 
DFP可以在MPLAB X IDE里面下载 tools --- packs ,可以进行下载
或者在https://packs.download.microchip.com/这个里面下载
DFP.png
PID的程序可以在SMPS Lib里面找到,楼上有,可以下载。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-9-24 16:54:01
 
最近把峰值电流做了一下,具体原理如下。利用CT互感器进行高端电流采样,输入到dspic内部模拟比较器的一端。对输出电压进行采样-转换后与给定值进行比较,得出误差后经过2p2z的一个环路补偿,将补偿器的输出幅值到DAC1DATH,经过 PDM DAC后输出模拟电压到高速模拟比较器的另一端。当CT电流值达到该电压后,模拟比较器输出会进行翻转,去触发PG1模块关断PWM1H,同时将PWM1L置高,用于驱动低端MOSFET。这就是利用dspic33c做峰值电流控制模式的大致思路,如下图所示。
峰值电流控制模式.png
下面是dspic内部实现的具体逻辑图,电流信号达到既定值之后高速模拟比较器产生上升沿,然后触发PCI Active信号去对PWM进行封波,周期结束PCI Active消失,下一个周期继续同样的操作,实现逐周期限流。
峰值电流控制模式.png
实现波形:
Siglent.png 峰值电流在占空比大于50%的情况下会出现大小占空比次谐波震荡的现象
次谐波震荡.png
那么就需要进行斜率补偿,下面是进行斜率补偿后的波形:
次谐波震荡2.png
可以看到,占空比比较稳定的进行工作。




westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-9-24 22:46:31
 
同时我也在画PCB,目前已经接近尾声了。PCB慢慢摸索过来的,花了比较长的时间。如果大家觉得哪里不太合理的,麻烦请指正,谢谢。
PCB Layout.png
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-3 21:40:00
 
针对平均电流模式加入了电流环测试的电路,方便环路分析仪进行电流环的测试。同时,也把丝印优化了一下,准备打板了。
2021.10.03.PNG
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-6 18:25:01
 
板子已经投板,在生产中了,估计国庆节后可以拿到。
生产中.png
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-12 18:38:00
 
板子回来了,初步测试辅助供电工作OK,后面开始性能验证。
2.jpg 3.jpg
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-13 12:11:54
 
电压单环测试
利用MCC和DCLD软件很快的就完成了电压单环的闭环控制,下面是实际测试图:
图1压缩.png 图2压缩.png 图3压缩.png Siglent3.png

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-1-18 19:35:37
 
2.jpg


BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2022-1-19 00:09:58
 
不错,DSPIC是很给力的,PIC16F178X也不错,只是PWM精度无法与DSPIC系列相提并论。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-1-19 22:27:00
 
PIC16F17xx是独立于外设的MCU,做多相同步Buck非常给力,做LED调光用的非常多。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-6 18:53:35
 
平均电流控制模式
silent1188
  • 积分:7246
  • |
  • 主题:2
  • |
  • 帖子:284
积分:7246
LV8
副总工程师
  • 2020-6-29 13:42:41
 
这个不错,必须点赞
梦楠紫藤
  • 积分:828
  • |
  • 主题:7
  • |
  • 帖子:54
积分:828
LV6
高级工程师
  • 2020-6-30 13:41:06
 
持续关注
wuzhi1231
  • 积分:455
  • |
  • 主题:0
  • |
  • 帖子:1
积分:455
LV6
高级工程师
  • 2020-7-1 15:36:58
 
支持,期待更新
peterchen0721
  • 积分:4366
  • |
  • 主题:3
  • |
  • 帖子:270
积分:4366
LV8
副总工程师
  • 2020-7-2 08:54:17
 
座好聽大師開講,努力學習...
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-7-3 15:20:53
 
正在用这个系列的DSP做Buck,学习中……
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-3 15:42:23
 
遇到问题大家可以交流一下。
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-7-3 17:54:31
 
请问楼主,DCDT需要的那些被控对象的数据怎么获得?谢谢!

是需要去计算?还是实测?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-3 20:18:35
 
可以用环路分析仪把主功率小信号测出来,或者通过仿真获得数据,然后导入就可以。后面我会讲到如何获取数据。
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-7-3 17:36:41
 
controllerPIDErrorHistory causes a section type conflict

编译出错了~~~
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-7-6 17:58:44
 
先把section 的定义去掉,uint16_t controllerPIDControlHistory[1];
通过编译,
调用 SMPS_ControllerPIDUpdate(&controllerPID,&ADCBUF9,24000,&tmp_duty);

但是PWM占空比没有变化

封装好了的东西,不好DEBUG啊

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-7 11:25:52
 
我这边实验是可以改的呀,是不是那里设置有问题。库的方便之处就是你不用管它里面的实现方式,可以直接调用,我觉得更好debug才对。
lizlk
  • 积分:6520
  • |
  • 主题:15
  • |
  • 帖子:1052
积分:6520
版主
  • 2020-7-7 17:03:42
 
这芯片价格应该远超同类型的Dsp了吧。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-7 20:52:54
 
不知道你说的远超是指的多少倍?
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-16 12:53:53
 
楼主这个芯片可能有点贵!我猜的,必竟功能与性能在呢!!!

另外我觉楼主把这个他这个设计搞复杂化了,如果输入为9-18V,输出3.3-5V,电路架构为同步BUCK
测有D=Vout/Vin

如设计输出3.3V
1、读取Vin  
2、计算占空比(如Vin=10V 则D=3.3/Vin=0.33 )
3、加载占空比,(如设计350K,则T=2.857uS  ,Ton=2.857 x 0.33 = 0.943uS )
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-16 15:12:28
 
你说的这个意思大家都懂,我就是想借这个机会,引申到电源很多知识点。如果按照你的想法,几句话就完事了,但是大家知其然,而不知其所以然。
lizlk
  • 积分:6520
  • |
  • 主题:15
  • |
  • 帖子:1052
积分:6520
版主
  • 2020-7-17 09:47:42
 
然而这样空载后输出立马和输入电压一样了。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-17 11:50:12
 
这位版主大人好,对于这种架构有着非常好的负载应变能力,空载,半载,全载等输出电压都会稳如泰山。
最大的压来来自于输入电压的波动,如果波动太大或太快都会导致因占空比调整不及时或过度调整,会产生输出振荡。
西园里的空蝉
  • 积分:123
  • |
  • 主题:0
  • |
  • 帖子:1
积分:123
LV2
本网技师
  • 2020-8-29 23:16:12
 
需要加入前馈控制去优化。增加检测输入电压信号,然后通过查表法乘以B系数。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-9-24 22:54:16
 
针对电压单环的控制模式,我做了一个自适应前馈的环路扫频对比。
未加入自适应前馈前,在不同输入电压下的环路扫频对比图:
AGC2.png
加入自适应前馈后,在不同输入电压下的环路扫频对比图:
AGC1.png

关于更多的细节,请前往本人关于自适应控制的视频内容https://www.bilibili.com/video/B ... id_from=333.999.0.0


donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-7-7 17:06:15
 
请问楼主的 Buck_CS这个信号是用来做什么的 ? 电流环吗?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-7 20:52:20
 
这个信号用于做峰值电流控制的电流采样。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-15 22:46:22
 
楼主好!我最近在做一个BUCK调光电路。
1.JPG

因为现在很多BUCK调光芯片很难做到1%以下调光。而且还有很多只能做到5%-10%。
这个电路设计思路是
1、满足深度调光要求。
2、成本不能太高。

好在国产单片机价格便宜,成本可以管控好。接下来主要的问题就是软件设计了
供电VCC通常由单级恒压PFC电路提供,所以VCC有很大的工频纹波,所以对于软件控制环路响应要快,防止工频纹波传到输出端。



westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-16 15:10:10
 
PFC电路电压环带宽都比较低。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-16 16:16:21
 
单片机设计的压力在于如何使单片机的性能得到完全的发辉。
单片机AD采样不但时间长,处理速度也慢,现在我这个方案设计开关频率为65K,频率是低了些,但木有办法,不能采用更贵的单片机。
程序也不会在每个周期更新PWM占空比,而是约300uS更新一次,必竟运算速度在这呢。
同样也是由于响应速度原因,只采用简易的积分算法。


westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-16 16:49:30
 
如果你这样,那带宽肯定是慢,环路响应不够快,导致输出纹波大。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-16 23:41:34
 
这是一个折中选项。主要还是算力不够,主要还是不想用太高成本片子

如果上STM32片子,那肯定没任何压力。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-16 23:43:32
 
8位单片机跑算法还是很吃力的,因为每次调整周期是固定的,所以必须在每个周期内完成所有运算。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-17 08:27:15
 
我推荐你一个8bit的PIC16F17xx,了解一下,但是成本可能达不到你的要求。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-17 12:00:07
 
谢谢!!!16F1786很多年前一直有用,非常不错的片子,在论坛里也给别人推荐过。
1783-9  64M独立PWM时钟,硬件16倍插值,芯片强大的地方在于硬件资源。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-17 12:05:12
 
功能强大,成本当然高了。
为提高PWM精度,我是用软件插值,STM32有2-4元的,但比起我现在选择用的成本还是高了。
STM32F0系列有两块多钱的48M主频,运算能力也是非常强大,STM32G系列PWM时钟可以选主时钟倍频,可以获得更高PWM精度。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-17 18:38:43
 
G系列PWM的时钟主要是timer做的,分辨率做的挺好的,就是不知道使用情况怎么样。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-17 18:59:05
 
楼主在哪里发财呀!!!一直做数字电源吗?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-17 19:38:45
 
我之前做模拟电源,后来转做数字电源,之前用TI C2000,现在用dsPIC33。
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-17 19:58:05
 
嗯!我现在用单片机的多,走成本路线。
kevin2016
  • 积分:67
  • |
  • 主题:0
  • |
  • 帖子:11
积分:67
LV1
本网技工
  • 2020-9-8 13:16:34
 
楼主好!有几个问题咨询下:1、峰值电流控制模式,峰值电流取哪里的呢?(输入电流?电感电流?同步整流MOSFET的电流?)

2、峰值电流要用运放进行采样放大吗?运放的带宽很难选择啊(小信号差分放大,共模电压高),有什么推荐呢?
3、峰值电流是否可以直接用比较器与设定比较,过流时关闭PWM输出?
4、峰值电流控制、平均电流控制 各有什么优缺点呢?我如何确定应用是否要用峰值电流控制模式呢?
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-9-8 13:43:21
 
回80楼,通常采用片内比较器,比较器REF端可以是片内DAC,也可以是COMP端。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-10-11 16:08:42
 
峰值电流控制模式我已更新,请看104楼。
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-8-5 23:08:57
 
请教楼主:做动态响应测试时,不同的  di/dt,不同的上冲/下冲,不同的恢复时间,对硬件设计有哪些影响?单纯靠软件优化应该效果有限。

一个800W(12.3V * 67A)电池备用电源,Buck变换器,输入是电池,电压18~24V,控制芯片是dsPIC33CK128M506,要求di/dt=10A/us, 3% 上冲/下冲, 250us恢复,测试条件是输出接2200uF电容,10%~90%负载。这个条件感觉比较严格?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-8-6 08:59:57
 
其实开关电源的动态一直不太好做,要想过冲小,恢复时间快,就只能增加环路带宽和相位余量。当然硬件也是占很重要的部分,比如硬件电路带来的延时,你需要把整个从采样到发波的延时计算出来,然后带入到开环里面去,再针对这个进行设计,效果可能会好一点。
donychen
  • 积分:287
  • |
  • 主题:3
  • |
  • 帖子:15
积分:287
LV3
助理工程师
  • 2020-8-6 11:45:03
 
请教:不同的di/dt在设计过程中需要怎样去考量?

评分

参与人数 121币 +10收起理由
admin + 10

查看全部评分

westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-8-6 15:13:36
 
这个就是动态负载的变化率,一般都是 有标准的,设置过快的变化率我觉得意义不是很大。
admin
  • 积分:32467
  • |
  • 主题:2330
  • |
  • 帖子:8895
积分:32467
管理员
  • 2020-7-10 10:35:32
 
收藏版 感谢分享 ,希望爱学习的工程师们 同步转发 谢谢

brucepan2007
  • 积分:170
  • |
  • 主题:0
  • |
  • 帖子:6
积分:170
LV2
本网技师
  • 2020-7-16 10:58:05
 
跟大神学习一下数字电源
eric.wentx
  • 积分:46973
  • |
  • 主题:486
  • |
  • 帖子:17006
积分:46973
版主
  • 2020-7-17 20:11:03
 
点个赞!
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-17 20:56:14
 
感谢太师支持!
powercheyne
  • 积分:1579
  • |
  • 主题:83
  • |
  • 帖子:463
积分:1579
LV6
高级工程师
  • 2020-7-21 20:13:54
 
有没有demo,想买一块学习
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-22 09:01:36
 
后续会有,目前暂时还没有。
DrAli
  • DrAli
  • 离线
  • LV6
  • 高级工程师
  • 积分:697
  • |
  • 主题:1
  • |
  • 帖子:9
积分:697
LV6
高级工程师
  • 2020-7-23 20:19:09
 
請問會有boost peak current mode的分享嗎
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-7-23 21:03:22
 
应该会有Buck peak current mode 分享
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2020-7-22 10:43:17
 
你好!!!我倒是做了一个Buck 输出恒流调光,针对LED深度恒流调光的。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2023-3-23 09:12:27
  • 倒数7
 
可以在咸鱼搜“寺庙发型师”
zxs2000
  • 积分:1450
  • |
  • 主题:7
  • |
  • 帖子:180
积分:1450
LV6
高级工程师
  • 2020-7-28 19:00:01
 
谢谢分享                    
account2011
  • 积分:226
  • |
  • 主题:0
  • |
  • 帖子:10
积分:226
LV3
助理工程师
  • 2020-9-8 14:17:07
 
绝对干货  感谢学习了 辛苦辛苦
http://www.pocomagnetic.com
loveDNF四
  • 积分:228
  • |
  • 主题:0
  • |
  • 帖子:4
积分:228
LV3
助理工程师
  • 2020-9-22 17:27:39
 
好活,帮顶!
luofeng4900
  • 积分:432
  • |
  • 主题:0
  • |
  • 帖子:34
积分:432
LV6
高级工程师
  • 2020-11-16 21:31:38
 
楼主辛苦了。好人啊
slslsl651
  • 积分:2159
  • |
  • 主题:27
  • |
  • 帖子:643
积分:2159
LV8
副总工程师
  • 2020-11-24 16:02:03
 
楼主的贴子一直都是很细致的,赞!
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-11-24 21:14:53
 
感谢谬赞,后续希望提供更多更有质量的帖子。
leehyoung
  • 积分:498
  • |
  • 主题:13
  • |
  • 帖子:38
积分:498
LV6
高级工程师
  • 2020-12-24 15:31:27
 
向大师致敬
认真的小猫
  • 积分:210
  • |
  • 主题:0
  • |
  • 帖子:4
积分:210
LV3
助理工程师
  • 2020-12-30 11:51:09
 
感谢楼主,很有帮助,加深了我对BUCK的理解,现在在使用dspic33CK系列芯片做Bang-Bang Charge Control的数字模块设计,打算使用单片数字DSP完成控制,目前在思考DSP内部的控制架构,图中的逻辑输出模块打算使用PWM模块实现,但对于PWM模块的理解很模糊,不知道PCI和terminate不知如何设置,希望可以得到建议,附上一张Bang-Bang Charge Control的架构图
[img][/img]
0.png
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2020-12-31 11:25:03
 
关于PWM外设有专门的中文FRM文档,请参考http://www.microchip.com.cn/newc ... 4/5e8c46206530e.pdf
认真的小猫
  • 积分:210
  • |
  • 主题:0
  • |
  • 帖子:4
积分:210
LV3
助理工程师
  • 2021-1-4 16:02:10
 
感谢
认真的小猫
  • 积分:210
  • |
  • 主题:0
  • |
  • 帖子:4
积分:210
LV3
助理工程师
  • 2020-12-30 11:53:44
 
巴索罗米奥
  • 积分:991
  • |
  • 主题:1
  • |
  • 帖子:3
积分:991
LV6
高级工程师
  • 2021-4-13 19:21:21
 
楼主你好,非常感谢你的分享,我最近也在学习DSPIC33CK,请问像是HRPWM这样的中文手册在哪里能找到呢,我在microchip官网上下载的数据手册全是英文的,英文水平不高,读得人难受死了。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-4-15 11:58:43
 
Microchip有个中文的网站 www.microchip.com.cn,在里面可以找到很多中文的文档,比如数据手册,应用手册,参考文档等。
你说的HRPWM对应的网址是 http://www.microchip.com.cn/newc ... p;a=index&id=27
巴索罗米奥
  • 积分:991
  • |
  • 主题:1
  • |
  • 帖子:3
积分:991
LV6
高级工程师
  • 2021-4-20 21:43:13
 
最近有点忙,没来得及看帖子,非常感谢楼主,祝你生活愉快。
cocobill
  • 积分:494
  • |
  • 主题:1
  • |
  • 帖子:29
积分:494
LV6
高级工程师
  • 2021-8-2 14:52:04
 
感谢楼主的分享,请问masters 2019的其它资料在哪里能够下载到?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-8-3 13:47:00
 
你去21IC网站上看看。
紫陌纤尘
  • 积分:276
  • |
  • 主题:0
  • |
  • 帖子:24
积分:276
LV3
助理工程师
  • 2024-3-18 09:05:37
  • 倒数3
 
感谢感谢,刚好需要,学习学习
hnxiaozi001
  • 积分:588
  • |
  • 主题:1
  • |
  • 帖子:59
积分:588
LV6
高级工程师
  • 2021-4-15 13:02:36
 
这个帖子有点牛!
五德
  • 五德
  • 离线
  • LV6
  • 高级工程师
  • 积分:517
  • |
  • 主题:5
  • |
  • 帖子:63
积分:517
LV6
高级工程师
  • 2021-4-17 23:01:08
 
好好学习数字电源。
my770809
  • 积分:4401
  • |
  • 主题:16
  • |
  • 帖子:95
积分:4401
LV8
副总工程师
  • 2021-8-9 09:48:27
 
非常感谢你的分享
mars5514
  • 积分:6305
  • |
  • 主题:15
  • |
  • 帖子:158
积分:6305
LV8
副总工程师
  • 2021-8-11 08:53:11
 
下载学习一下,顶一个
教父-i3
  • 积分:244
  • |
  • 主题:0
  • |
  • 帖子:4
积分:244
LV3
助理工程师
  • 2021-8-26 10:08:36
 
牛叉,必须收藏
march136418
  • 积分:343
  • |
  • 主题:0
  • |
  • 帖子:40
积分:343
LV4
初级工程师
  • 2021-11-5 16:58:26
 
感谢分享!好帖子啊
SDMCU51
  • 积分:180
  • |
  • 主题:0
  • |
  • 帖子:4
积分:180
LV2
本网技师
  • 2021-11-22 12:21:50
 
非常感谢你的分享
housecaoyanan
  • 积分:271
  • |
  • 主题:0
  • |
  • 帖子:28
积分:271
LV3
助理工程师
  • 2021-11-23 13:13:15
 
又学到好多   感谢博主   
xiaosafeng
  • 积分:425
  • |
  • 主题:0
  • |
  • 帖子:39
积分:425
LV6
高级工程师
  • 2021-11-24 13:16:26
 
忍不住惊叹  ,楼主厉害  
ghbrd
  • ghbrd
  • 离线
  • LV3
  • 助理工程师
  • 积分:224
  • |
  • 主题:0
  • |
  • 帖子:1
积分:224
LV3
助理工程师
  • 2021-12-15 16:50:58
 
太强了,干货满满,学习了学习了
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2021-12-18 09:54:17
 
视频内容估计也可以学到很多
malodi
  • 积分:114
  • |
  • 主题:1
  • |
  • 帖子:4
积分:114
LV2
本网技师
  • 2022-3-8 16:08:16
 
请问正激和反激拓扑(低压大电流输出情况下),平均电流模式和峰值电流模式怎么选?谢谢!
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-3-8 16:44:08
 
和输出电流没有关系,和功率有关系。正激和反激一般采用峰值电流控制模式,反激适合小功率,正激功率稍微可以大一些。
rise_sight
  • 积分:1145
  • |
  • 主题:92
  • |
  • 帖子:231
积分:1145
LV6
高级工程师
  • 2021-12-20 14:22:43
 
这个帖子真不错
sfzhiye
  • 积分:400
  • |
  • 主题:6
  • |
  • 帖子:58
积分:400
LV6
高级工程师
  • 2021-12-28 10:18:50
 
这是大佬啊
冯建松
  • 积分:188
  • |
  • 主题:0
  • |
  • 帖子:1
积分:188
LV2
本网技师
  • 2022-1-10 16:17:34
 
请问楼主,除了打嗝有什么简单方法解决buck的空载,轻载问题啊
BingSun
  • 积分:10963
  • |
  • 主题:58
  • |
  • 帖子:1997
积分:10963
LV10
总工程师
  • 2022-1-11 05:27:43
 
最近又完成了一个BUCK,输入30-100V,输出10V-30V,输入电流有两档,输入高电压时输出3A,输入低电压时输出电流1A,所以数字电源为首选。

轻载与空载不应有什么问题,特别是同步BUCK更不会有问题。
TP416775364
  • 积分:395
  • |
  • 主题:0
  • |
  • 帖子:49
积分:395
LV4
初级工程师
  • 2022-1-12 15:36:18
 
楼主,开发板在哪儿能买么?
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-1-14 20:23:27
 
还没正式做好,估计要到年后。
housecaoyanan
  • 积分:271
  • |
  • 主题:0
  • |
  • 帖子:28
积分:271
LV3
助理工程师
  • 2022-2-7 14:02:52
 
好帖子
wtcjsj
  • wtcjsj
  • 离线
  • LV6
  • 高级工程师
  • 积分:404
  • |
  • 主题:9
  • |
  • 帖子:58
积分:404
LV6
高级工程师
  • 2022-2-8 11:35:38
 
成本太高了
dw772
  • dw772
  • 离线
  • LV6
  • 高级工程师
  • 积分:705
  • |
  • 主题:3
  • |
  • 帖子:45
积分:705
LV6
高级工程师
  • 2022-3-23 21:29:55
 
大佬,我在b站看到你视频了,
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-3-23 22:16:36
 
还不快关注
Origins
  • 积分:447
  • |
  • 主题:3
  • |
  • 帖子:29
积分:447
LV6
高级工程师
  • 2022-4-5 20:04:32
 
请问版主,您的电压模式,我想看下,输出电压从空载到满载的响应波形,怎么样?


Origins
  • 积分:447
  • |
  • 主题:3
  • |
  • 帖子:29
积分:447
LV6
高级工程师
  • 2022-4-5 20:05:09
 
还有为什么电压模式用的3P3Z, 2P2Z响应会比较差吗?谢谢
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-4-8 09:40:06
 
在电压模式下,是一个二阶系统,所以需要3p3z来进行补偿。
westbrook
  • 积分:8186
  • |
  • 主题:13
  • |
  • 帖子:1304
积分:8186
LV8
副总工程师
  • 2022-4-8 09:40:52
 
测试还行。
dw772
  • dw772
  • 离线
  • LV6
  • 高级工程师
  • 积分:705
  • |
  • 主题:3
  • |
  • 帖子:45
积分:705
LV6
高级工程师
  • 2022-5-8 22:47:22
  • 倒数10
 
已经关注了,但是现在PIC的芯片太贵用不起啊

wahaha1984
  • 积分:107
  • |
  • 主题:0
  • |
  • 帖子:1
积分:107
LV2
本网技师
  • 2022-3-26 00:48:14
 
非常不错!谢谢楼主!
kor2004
  • 积分:367
  • |
  • 主题:2
  • |
  • 帖子:41
积分:367
LV4
初级工程师
  • 2022-4-6 13:50:45
 
好帖子   感谢分享
HW_HYF
  • HW_HYF
  • 离线
  • LV8
  • 副总工程师
  • 积分:2158
  • |
  • 主题:1
  • |
  • 帖子:32
积分:2158
LV8
副总工程师
  • 2022-5-9 07:48:31
  • 倒数9
 
不错,学习了!顶一个。
相思和星辰
  • 积分:1288
  • |
  • 主题:28
  • |
  • 帖子:251
积分:1288
LV6
高级工程师
  • 2023-3-4 11:26:37
  • 倒数8
 
厉害,学习了
songxium
  • 积分:1523
  • |
  • 主题:33
  • |
  • 帖子:445
积分:1523
LV6
高级工程师
  • 2024-1-2 17:17:44
  • 倒数6
 
非常好的文章

紫陌纤尘
  • 积分:276
  • |
  • 主题:0
  • |
  • 帖子:24
积分:276
LV3
助理工程师
  • 2024-3-12 11:44:04
  • 倒数5
 
非常好的帖子,学习了,感谢分享
热门技术、经典电源设计资源推荐

世纪电源网总部

地 址:天津市南开区黄河道大通大厦8层

电 话:400-022-5587

传 真:(022)27690960

邮 编:300110

E-mail:21dy#21dianyuan.com(#换成@)

世纪电源网分部

广 东:(0755)82437996 /(138 2356 2357)

北 京:(010)69525295 /(15901552591)

上 海:(021)24200688 /(13585599008)

香 港:HK(852)92121212

China(86)15220029145

网站简介 | 网站帮助 | 意见反馈 | 联系我们 | 广告服务 | 法律声明 | 友情链接 | 清除Cookie | 小黑屋 | 不良信息举报 | 网站举报

Copyright 2008-2024 21dianyuan.com All Rights Reserved    备案许可证号为:津ICP备10002348号-2   津公网安备 12010402000296号